版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)
文檔簡介
《FPGA與硬件描述語言》實驗報告PAGE武漢工程大學(xué)電氣信息學(xué)院《FPGA與硬件描述語言》實驗報告[1]專業(yè)班級13電信01班實驗時間2015年10月12日學(xué)生學(xué)號1304201426實驗地點(diǎn)4B315學(xué)生姓名曾維穎指導(dǎo)教師曹新莉?qū)嶒烅椖块W爍的LED實驗類別基礎(chǔ)實驗實驗學(xué)時4學(xué)時實驗?zāi)康募耙笳莆誕uartusII設(shè)計電路的基本流程,熟悉VHDL程序,分別設(shè)計分頻電路,LED閃爍延時計數(shù)程序。將設(shè)計好的LED閃爍電路程序下載到DEII開發(fā)板上進(jìn)行實物測試。成績評定表類別評分標(biāo)準(zhǔn)分值得分合計上機(jī)表現(xiàn)按時出勤、遵守紀(jì)律認(rèn)真完成各項實驗內(nèi)容30分報告質(zhì)量程序代碼規(guī)范、功能正確填寫內(nèi)容完整、體現(xiàn)收獲70分說明:評閱教師:
日期:2015年月日實驗內(nèi)容(說明:此部分應(yīng)包含:實驗內(nèi)容、實驗步驟、實驗數(shù)據(jù)與分析過程等)一、實驗內(nèi)容1.用VHDL語言設(shè)計分頻器,得到0.1Hz——1Hz的時鐘信號;2.設(shè)計閃爍延時程序,控制發(fā)光二極管的閃爍。二、實驗方法與步驟分頻電路的產(chǎn)生1.分頻原理:(50MHz晶振信號怎樣分頻成你所需要的低頻信號。)50MHz=50×100×100×100Hz,這樣就需要1個50Hz分頻器,3個100Hz分頻器 2.50分頻器:源程序如下(記作cnt50)libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitycnt50isport(clk:instd_logic;en:instd_logic;clr:instd_logic;cout:outstd_logic;q:bufferstd_logic_vector(5downto0));endcnt50;architectureoneofcnt50isbeginprocess(clk,clr)beginifclr='1'thenq<="000000";elsifclk'eventandclk='1'thenifen='1'thenifq="110001"thenq<="000000";elseq<=q+1;endif;endif;endif;ifq="110001"thencout<='1';elsecout<='0';endif;endprocess;endone; 3.100分頻器:(記作cnt100)源程序:libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitycnt100isport(clk:instd_logic;en:instd_logic;clr:instd_logic;cout:outstd_logic;2.cnt100波形圖實驗總結(jié)(說明:總結(jié)實驗認(rèn)識、過程、效果、問題、收獲、體會、意見和建議。)武漢工程大學(xué)電氣信息學(xué)院《FPGA與硬件描述語言》實驗報告[2]專業(yè)班級13電信01班實驗時間2015年10月19日學(xué)生學(xué)號1304201426實驗地點(diǎn)4B315學(xué)生姓名曾維穎指導(dǎo)教師曹新莉?qū)嶒烅椖繌淖蟮接业牧魉疅魧嶒烆悇e基礎(chǔ)實驗實驗學(xué)時4學(xué)時實驗?zāi)康募耙?.接在fpga的8個LED從左到右循環(huán)依次點(diǎn)亮,產(chǎn)生走馬燈效果2.深入掌握晶振分頻的原理,并實現(xiàn);3.通過設(shè)計計數(shù)器的不同計數(shù)值,來控制若干個發(fā)光二極管的逐次點(diǎn)亮。成績評定表類別評分標(biāo)準(zhǔn)分值得分合計上機(jī)表現(xiàn)按時出勤、遵守紀(jì)律認(rèn)真完成各項實驗內(nèi)容30分報告質(zhì)量程序代碼規(guī)范、功能正確填寫內(nèi)容完整、體現(xiàn)收獲70分說明:評閱教師:
日期:2015年月日實驗內(nèi)容(說明:此部分應(yīng)包含:實驗內(nèi)容、實驗步驟、實驗數(shù)據(jù)與分析過程等)一、實驗內(nèi)容1.接在fpga的8個(或者更多個)LED從左到右循環(huán)依次點(diǎn)亮,產(chǎn)生流水燈效果2.深入掌握晶振分頻的原理,并實現(xiàn);3.通過設(shè)計計數(shù)器的不同計數(shù)值,來控制若干個發(fā)光二極管的逐次點(diǎn)亮。二、實驗方法與步驟1.深入掌握晶振分頻的原理,并實現(xiàn);分頻就是將晶振的高頻率分頻成所需要的頻率,例如用50MHz晶振分頻成1Hz,1Hz就是所說的秒信號,可以作為各種電子設(shè)備的時鐘信號。2.通過設(shè)計計數(shù)器的不同計數(shù)值,來控制若干個發(fā)光二極管的逐次點(diǎn)亮。①50分頻器:libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitycnt50isport(clk:instd_logic;en:instd_logic;clr:instd_logic;cout:outstd_logic;q:bufferstd_logic_vector(5downto0));endcnt50;architectureoneofcnt50isbeginprocess(clk,clr)beginifclr='1'thenq<="000000";elsifclk'eventandclk='1'thenifen='1'thenifq="110001"thenq<="000000";elseq<=q+1;endif;endif;endif;ifq="110001"thencout<='1';elsecout<='0';endif;endprocess;endone; ②100分頻器:libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitycnt100isport(clk:instd_logic;en:instd_logic;clr:instd_logic;cout:outstd_logic;q:bufferstd_logic_vector(6downto0));endcnt100;architectureoneofcnt100isbeginprocess(clk,clr)beginifclr='1'thenq<="0000000";elsifclk'eventandclk='1'thenifen='1'thenifq="1100011"thenq<="0000000";elseq<=q+1;endif;endif;endif;ifq="1100011"thencout<='1';elsecout<='0';endif;endprocess;endone;三、實驗數(shù)據(jù)與結(jié)果分析1、從右向左LED流水燈點(diǎn)亮的電路,源程序如下libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;useieee.std_logic_arith.all;entityliushuidengisport(clk,CLR:instd_logic;y:outstd_logic_vector(7downto0));endentityliushuideng;architecturebevofliushuidengissignaln:integerrange0to7;typestatetypeis(a,b,c,d,e,f,g,h);signalnextstate,presentstate:statetype;beginfsm:process(presentstate)begincasepresentstateiswhena=>y<="00000001";whenb=>y<="00000010";whenc=>y<="00000100";whend=>y<="00001000";whene=>y<="00010000";whenf=>y<="00100000";wheng=>y<="01000000";whenh=>y<="10000000";endcase;endprocessfsm;tim:process(clk,clr,n)beginifclr='1'thenn<=0;presentstate<=a;elsifrising_edge(clk)thenifn=7thenn<=0;elsen<=n+1;endif;endif;caseniswhen0=>presentstate<=a;when1=>presentstate<=b;when2=>presentstate<=c;when3=>presentstate<=d;when4=>presentstate<=e;when5=>presentstate<=f;when6=>presentstate<=g;when7=>presentstate<=h;endcase;endprocesstim;endbev;2、頂層文件建立3、引腳鎖定:Clk:PIN_N2En:PIN_N25Clr:PIN_N26y[0]-y[7]依次為:PIN_AE23,PIN_AF23,PIN_AB21,PIN_AC22,PIN_AD22,PIN_AD23,PIN_AD21,PIN_AC21,4、測試結(jié)果:流水燈每隔一秒,從右向左點(diǎn)亮,當(dāng)復(fù)位開關(guān)掰下時,led燈自動跳回最右邊。實驗總結(jié)(說明:總結(jié)實驗認(rèn)識、過程、效果、問題、收獲、體會、意見和建議。)
武漢工程大學(xué)電氣信息學(xué)院《FPGA與硬件描述語言》實驗報告[3]專業(yè)班級13電信01班實驗時間2015年10月26日學(xué)生學(xué)號1304201426實驗地點(diǎn)4B315學(xué)生姓名曾維穎指導(dǎo)教師曹新莉?qū)嶒烅椖繂沃粩?shù)碼管循環(huán)顯示0~F;8只數(shù)碼管動態(tài)顯示多個不同字符。實驗類別基礎(chǔ)實驗實驗學(xué)時4學(xué)時實驗?zāi)康募耙?.掌握晶振芯片的分頻過程及原理。2.設(shè)計共陰極和共陽極七段數(shù)碼管的顯示原理,編寫驅(qū)動譯碼VHDL代碼。3.通過時序控制,令單只數(shù)碼管循環(huán)顯示,形成流水燈的效果,并可以改變顯示的時間。4.8只數(shù)碼管動態(tài)顯示多個不同字符,數(shù)碼管動態(tài)掃描顯示0~7。成績評定表類別評分標(biāo)準(zhǔn)分值得分合計上機(jī)表現(xiàn)按時出勤、遵守紀(jì)律認(rèn)真完成各項實驗內(nèi)容30分報告質(zhì)量程序代碼規(guī)范、功能正確填寫內(nèi)容完整、體現(xiàn)收獲70分說明:評閱教師:
日期:2015年月日實驗內(nèi)容(說明:此部分應(yīng)包含:實驗內(nèi)容、實驗步驟、實驗數(shù)據(jù)與分析過程等)一、實驗內(nèi)容1.掌握晶振芯片的分頻過程及原理。2.設(shè)計共陰極和共陽極七段數(shù)碼管的顯示原理,編寫驅(qū)動譯碼VHDL代碼。3.通過時序控制,令單只數(shù)碼管循環(huán)顯示0——F,并可以改變顯示的時間。4.8只數(shù)碼管動態(tài)顯示多個不同字符,數(shù)碼管動態(tài)掃描顯示0~7。二、實驗方法與步驟1.對晶振芯片進(jìn)行分頻:從50MHZ分頻到1Hz。2.設(shè)計共陰極和共陽極七段數(shù)碼管的顯示原理,編寫驅(qū)動譯碼VHDL代碼①共陽極數(shù)碼管是指八段數(shù)碼管的八段LED的陽極都連在一起,而陰極對應(yīng)的各段可分別控制②共陰極數(shù)碼管是指八段數(shù)碼管的八段LED的陰極都連在一起,而陽極對應(yīng)的各段可分別控制3.通過時序控制,令單只數(shù)碼管循環(huán)顯示,形成流水燈的效果,并可以改變顯示的時間。4.8只數(shù)碼管動態(tài)顯示多個不同字符,數(shù)碼管動態(tài)掃描顯示0~7。實驗數(shù)據(jù)與結(jié)果分析單只數(shù)碼管循環(huán)顯示0~FLIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYshumaguanISPORT(inp:INSTD_LOGIC_vector(3downto0);q:OUTSTD_LOGIC_VECTOR(6downto0));ENDshumaguan;ARCHITECTUREbhvOFshumaguanISBEGINPROCESS(inp)BEGINCASEinpISWHEN"0000"=>q<="0111111";WHEN"0001"=>q<="0000110";WHEN"0010"=>q<="1011011";WHEN"0011"=>q<="1001111";WHEN"0100"=>q<="1100110";WHEN"0101"=>q<="1101101";WHEN"0110"=>q<="1111101";WHEN"0111"=>q<="0000111";WHEN"1000"=>q<="1111111";WHEN"1001"=>q<="1101111";WHEN"1010"=>q<="1110111";WHEN"1011"=>q<="1111100";WHEN"1100"=>q<="0111001";WHEN"1101"=>q<="1011110";WHEN"1110"=>q<="1111001";WHEN"1111"=>q<="1110001";WHENOTHERS=>NULL;ENDCASE;ENDPROCESS;ENDbhv;8只數(shù)碼管動態(tài)顯示多個不同字符libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entityshuma8isport(clk:instd_logic;q0,q1,q2,q3,q4,q5,q6,q7:outstd_logic_vector(6downto0));endshuma8;architectureoneofshuma8issignalnum:integerrange7downto0;beginprocess(clk)beginifclk'eventandclk='1'thenifnum<7thennum<=num+1;elsenum<=0;endif;endif;endprocess;process(num)begincasenumiswhen0=>q0<="0111111";q1<="0000000";q2<="0000000";q3<="0000000";q4<="0000000";q5<="0000000";q6<="0000000";q7<="0000000";when1=>q0<="0111111";q1<="0000110";q2<="0000000";q3<="0000000";q4<="0000000";q5<="0000000";q6<="0000000";q7<="0000000";when2=>q0<="0111111";q1<="0000110";q2<="1011011";q3<="0000000";q4<="0000000";q5<="0000000";q6<="0000000";q7<="0000000";when3=>q0<="0111111";q1<="0000110";q2<="1011011";q3<="1001111";q4<="0000000";q5<="0000000";q6<="0000000";q7<="0000000";when4=>q0<="0111111";q1<="0000110";q2<="1011011";q3<="1001111";q4<="1100110";q5<="0000000";q6<="0000000";q7<="0000000";when5=>q0<="0111111";q1<="0000110";q2<="1011011";q3<="1001111";q4<="1100110";q5<="1101101";q6<="0000000";q7<="0000000";when6=>q0<="0111111";q1<="0000110";q2<="1011011";q3<="1001111";q4<="1100110";q5<="1101101";q6<="1111101";q7<="0000000";when7=>q0<="0111111";q1<="0000110";q2<="1011011";q3<="1001111";q4<="1100110";q5<="1101101";q6<="1111101";q7<="0000111";whenothers=>null;endcase;endprocess;endone;其仿真波形如圖所示實驗總結(jié)(說明:總結(jié)實驗認(rèn)識、過程、效果、問題、收獲、體會、意見和建議。)武漢工程大學(xué)電氣信息學(xué)院《FPGA與硬件描述語言》實驗報告[4]專業(yè)班級13電信01班實驗時間2015年11月2日學(xué)生學(xué)號1304201426實驗地點(diǎn)4B315學(xué)生姓名曾維穎指導(dǎo)教師曹新莉?qū)嶒烅椖?00計數(shù)器并數(shù)碼管顯示實驗類別基礎(chǔ)實驗實驗學(xué)時4學(xué)時實驗?zāi)康募耙?.掌握晶振芯片的分頻過程及原理。2.設(shè)計100計數(shù)器的時序電路,編寫VHDL代碼。3.通過時序控制,用三個數(shù)碼管顯示100計數(shù)器的計數(shù)值4.下載到開發(fā)板上進(jìn)行驗證。成績評定表類別評分標(biāo)準(zhǔn)分值得分合計上機(jī)表現(xiàn)按時出勤、遵守紀(jì)律認(rèn)真完成各項實驗內(nèi)容30分報告質(zhì)量程序代碼規(guī)范、功能正確填寫內(nèi)容完整、體現(xiàn)收獲70分說明:評閱教師:
日期:2015年12月1日實驗內(nèi)容(說明:此部分應(yīng)包含:實驗內(nèi)容、實驗步驟、實驗數(shù)據(jù)與分析過程等)一、實驗內(nèi)容1.掌握晶振芯片的分頻過程及原理。2.設(shè)計100計數(shù)器的時序電路,編寫VHDL代碼。3.通過時序控制,用兩個數(shù)碼管顯示100計數(shù)器的計數(shù)值4.下載到開發(fā)板上進(jìn)行驗證。二、實驗方法與步驟1.掌握晶振芯片的分頻過程及原理。分頻就是將晶振的高頻率分頻成所需要的頻率,例如實驗采用的50MHz晶振分頻成1Hz,1Hz就是所說的秒信號,由3個100計數(shù)器和1個50計數(shù)器級聯(lián)而成。2.設(shè)計100計數(shù)器的時序電路,編寫VHDL代碼。3.通過時序控制,用三個數(shù)碼管顯示100計數(shù)器的計數(shù)值4.下載到開發(fā)板上進(jìn)行驗證。三、實驗數(shù)據(jù)與結(jié)果分析Cnt10的源程序libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitycnt10isport(clk:instd_logic;clr_ge,clr_shi,clk_ge,clk_shi:outstd_logic;gewei,shiwei:bufferstd_logic_vector(3downto0));endcnt10;architecturebhvofcnt10isbeginprocess(clk)beginifclk'eventandclk='1'thenifgewei<"1001"thengewei<=gewei+1;clk_ge<='1';elsifgewei="1001"thenclr_ge<='1';gewei<="0000";ifshiwei<"1001"thenshiwei<=shiwei+1;clk_shi<='1';elseshiwei<="0000";endif;endif;endif;clk_ge<='0';clk_shi<='0';clr_ge<='0';clr_shi<='0';endprocess;endbhv;Cnt10的仿真波形圖如下所示Cnt50源程序libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitycounter50isport(clk_50,en_50,clr_50:instd_logic;cout_50:outstd_logic;data:bufferstd_logic_vector(5downto0));endcounter50;architecturebhvofcounter50isbeginprocess(clk_50,clr_50)beginifclr_50='1'thendata<="000000";elsifclk_50'eventandclk_50='1'thenifen_50='1'thenifdata="110001"thendata<="000000";elsedata<=data+1;endif;endif;endif;ifdata="110001"thencout_50<='1';elsecout_50<='0';endif;endprocess;endbhv;Cnt50的仿真波形圖Cnt100的源程序libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitycounter100isport(clk_100,en_100,clr_100:instd_logic;cout_100:outstd_logic;data:bufferstd_logic_vector(6downto0));endcounter100;architecturebhvofcounter100isbegin process(clk_100,clr_100)begin ifclr_100='1'thendata<="0000000"; elsifclk_100'eventandclk_100='1'then ifen_100='1'then ifdata="1100011"thendata<="0000000"; elsedata<=data+1; endif; endif; endif; ifdata="1100011"thencout_100<='1'; elsecout_100<='0'; endif; endprocess; endbhv;Cnt100的仿真波形圖Jishu100的源程序libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entityyangjiisport(clk,en,clr:instd_logic;data:bufferstd_logic_vector(3downto0);a,b,c,d,e,f,g,h:outstd_logic);endyangji;architecturebhvofyangjiisbeginprocess(clk,clr,en)beginifclr='1'thendata<="0000";elsifclk'eventandclk='1'thenifen='1'thenifdata="1001"thendata<="0000";elsedata<=data+1;endif;endif;endif;casedataiswhen"0000"=>a
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 2024版人力資源服務(wù)戰(zhàn)略框架協(xié)議
- 2024年藝術(shù)品買賣合同:真品性與價值評估
- 2024年預(yù)制件加工與裝配式建筑構(gòu)件物流配送合同3篇
- 《子女撫養(yǎng)權(quán)與財產(chǎn)分割合同》版B版
- 2 我們的班規(guī)我們訂(說課稿)2024-2025學(xué)年統(tǒng)編版道德與法治四年級上冊
- 2024渣土外運(yùn)過程中環(huán)境保護(hù)合同
- 2024年網(wǎng)絡(luò)安全與風(fēng)險管理協(xié)議
- 專用燒烤制品買賣協(xié)議(2024版)版A版
- 培訓(xùn)咨詢服務(wù)協(xié)議書(2篇)
- 2024年航天器研發(fā)與發(fā)射合同
- 保險公司廉政風(fēng)險防控制度
- 2024年職工職業(yè)技能大賽數(shù)控銑工賽項理論考試題庫-下(多選、判斷題)
- DB34T4868-2024智慧醫(yī)院醫(yī)用耗材院內(nèi)物流規(guī)范
- 防高墜安全警示培訓(xùn)
- 初二數(shù)學(xué)幾何試題(含答案)
- 人教部編版七年級語文上冊《閱讀綜合實踐》示范課教學(xué)設(shè)計
- 2024年浙江嘉興市眾業(yè)供電服務(wù)限公司招聘38人高頻500題難、易錯點(diǎn)模擬試題附帶答案詳解
- 初中英語聽課記錄全集
- 課堂小游戲教學(xué)游戲互動砸金蛋
- 孤殘兒童護(hù)理員技能鑒定考試題庫(含答案)
- ISO∕TR 56004-2019創(chuàng)新管理評估-指南(雷澤佳譯-2024)
評論
0/150
提交評論