EDA課程設計基于CPLD的汽車尾燈控制系統(tǒng)_第1頁
EDA課程設計基于CPLD的汽車尾燈控制系統(tǒng)_第2頁
EDA課程設計基于CPLD的汽車尾燈控制系統(tǒng)_第3頁
EDA課程設計基于CPLD的汽車尾燈控制系統(tǒng)_第4頁
EDA課程設計基于CPLD的汽車尾燈控制系統(tǒng)_第5頁
已閱讀5頁,還剩20頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內容提供方,若內容存在侵權,請進行舉報或認領

文檔簡介

1、成績 課 程 設 計 說 明 書課程設計名稱: eda技術課程設計 題 目: 設計汽車尾燈控制電路 學 生 姓 名: 專 業(yè): 學 號: 指 導 教 師: 日期:2012年 6月 11 日 目錄1前言31.1設計的目的31.2 設計要求31.3 功能分析31.4 eda的介紹41.4.1 eda技術的概念41.4.2 eda技術的特點41.4.3 eda設計流程41.5硬件描述語言(vhdl)51.5.1 vhdl的介紹51.5.2 vhdl語言的特點52.總體方案設計63.protel各電路模塊及分析73.1時鐘產(chǎn)生電路部分73.2電源供應電路部分73.3現(xiàn)場可編程門陣列fpga電路部分83

2、.4jtag下載電路部分93.5發(fā)光顯示部分104.各單元模塊的設計124.1汽車狀態(tài)模塊124.1.1設計的汽車狀態(tài)模塊124.1.2汽車狀態(tài)控制的程序代碼124.2 左尾燈控制模塊與右尾燈控制模塊134.2.1左尾燈控制模塊134.2.2 汽車左燈hdl語言如下144.2.3 設計的右尾燈控制模塊154.2.4汽車右燈hdl語言如下164.3 時鐘模塊174.3.1時鐘控制模塊174.3.2周期為2s的時鐘源程序184.3.3周期為500ms的時鐘源程序184.4各模塊流程圖195 系統(tǒng)的仿真與調試205.1汽車狀態(tài)模塊的仿真與分析205.2汽車尾燈左燈模塊仿真及分析205.3汽車尾燈右燈

3、模塊仿真及分析215.4時鐘模塊仿真及分析215.5整個系統(tǒng)的仿真及分析225.6整個頂層設計電路圖23總結23參考文獻24附圖251前言隨著科學技術的全面發(fā)展,汽車制造工藝得到了不斷的進步,使得汽車已經(jīng)成為現(xiàn)代人們主要的交通工具。人們了解到它們快捷、快速之于,也同時意識到汽車潛在的安全隱患,所以對具有汽車行駛狀況提示作用的汽車尾燈進行研究是非常必要的。1.1設計的目的通過該課程設計,讓學生掌握eda的基本方法,熟悉vhdl語言和一種eda軟件(quartus ii),并能利用eda軟件設計一個電子技術綜合問題,進一步培養(yǎng)學生分析解決工程實際問題的能力,為以后的畢業(yè)設計和進行工程實際問題的研究

4、打下設計基礎。1.2 設計要求汽車尾燈左、右各有3個,從中間開始編號,分別用l1、l2、l3,r1、r2、r3表示。當汽車轉向時,按l1l1l2l1l2l3全亮l1(或r1r1r2r1r2r3全亮r1)次序循環(huán)亮,亮持續(xù)為2秒;當汽車剎車時,所有尾燈同時以2hz的頻率閃爍;正常行駛時,所有尾燈滅。輸入信號有左、右轉向和剎車。1.3 功能分析從以上設計要求,將本系統(tǒng)分成四個功能設計模塊:(1)汽車狀態(tài)模塊:該模塊接收左轉、右轉、剎車和正常行駛的控制信號,根據(jù)所接受的信號控制左尾燈控制模塊和右尾燈控制模塊。(2)左尾燈控制模塊:接受汽車狀態(tài)模塊的控制信號對左邊三個尾燈的亮與滅進行控制。(3)右尾燈

5、控制模塊:接受汽車狀態(tài)模塊的控制信號對右邊三個尾燈的亮與滅進行控制。(4)時鐘模塊:由于當汽車轉彎時尾燈按照一定順序循環(huán)亮滅,亮持續(xù)時間為2s,而剎車時尾燈又以2hz的頻率閃爍,故該模塊提供周期為2s和500ms的時鐘信號供左尾燈控制模塊和右尾燈控制模塊控制尾燈的亮滅。1.4 eda的介紹 1.4.1 eda技術的概念eda是電子設計自動化(electronic design automation)的縮寫,在20世紀90年代初從計算機輔助設計(cad)、計算機輔助制造(cam)、計算機輔助測試(cat)和計算機輔助工程(cae)的概念發(fā)展而來的。eda技術就是以計算機為工具,設計者在eda軟件

6、平臺上,用硬件描述語言hdl完成設計文件,然后由計算機自動地完成邏輯編譯、化簡、分割、綜合、優(yōu)化、布局、布線和仿真,直至對于特定目標芯片的適配編譯、邏輯映射和編程下載等工作。1.4.2 eda技術的特點利用eda技術進行電子系統(tǒng)的設計,具有以下幾個特點: 用軟件的方式設計硬件; 用軟件方式設計的系統(tǒng)到硬件系統(tǒng)的轉換是由有關的開發(fā)軟件自動完成的; 設計過程中可用有關軟件進行各種仿真; 系統(tǒng)可現(xiàn)場編程,在線升級; 整個系統(tǒng)可集成在一個芯片上,體積小、功耗低、可靠性高。因此,eda技術是現(xiàn)代電子設計的發(fā)展趨勢。1.4.3 eda設計流程典型的eda設計流程如下:1、文本/原理圖編輯與修改。首先利用e

7、da工具的文本或圖形編輯器將設計者的設計意圖用文本或圖形方式表達出來。 2、編譯。完成設計描述后即可通過編譯器進行排錯編譯,變成特定的文本格式,為下一步的綜合做準備。 3、 綜合。將軟件設計與硬件的可實現(xiàn)性掛鉤,是將軟件轉化為硬件電路的關鍵步驟。4、 行為仿真和功能仿真。利用產(chǎn)生的網(wǎng)表文件進行功能仿真,以便了解設計描述與設計意圖的一致性。5、適配。利用fpga/cpld布局布線適配器將綜合后的網(wǎng)表文件針對某一具體的目標器件進行邏輯映射操作,其中包括底層器件配置、邏輯分割、邏輯優(yōu)化、布局布線。適配報告指明了芯片內資源的分配與利用、引腳鎖定、設計的布爾方程描述情況。 6、 功能仿真和時序仿真。7、

8、 下載。如果以上的所有過程都沒有發(fā)現(xiàn)問題,就可以將適配器產(chǎn)生的下載文件通過fpga/cpld下載電纜載入目標芯片中。1.5硬件描述語言(vhdl)1.5.1 vhdl的介紹vhdl(very-high-speed integrated circuit hardware description language)主要用于描述數(shù)字系統(tǒng)的結構,行為,功能和接口。除了含有許多具有硬件特征的語句外,vhdl的語言形式和描述風格與句法是十分類似于一般的計算機高級語言。vhdl的程序結構特點是將一項工程設計,或稱設計實體(可以是一個元件,一個電路模塊或一個系統(tǒng))分成外部(或稱可是部分,及端口)和內部(或稱不

9、可視部分),既涉及實體的內部功能和算法完成部分。在對一個設計實體定義了外部界面后,一旦其內部開發(fā)完成后,其他的設計就可以直接調用這個實體。這種將設計實體分成內外部分的概念是vhdl系統(tǒng)設計的基本1.5.2 vhdl語言的特點1.用vhdl代碼而不是用原理圖進行設計,意味著整個電路板的模型及性能可用計算機模擬進行驗證。2.vhdl元件的設計與工藝無關,與工藝獨立,方便工藝轉換。3.vhdl支持各種設計方法,自頂向下、自底向上或者混合的都可以。4.可以進行從系統(tǒng)級到邏輯級的描述,即混合描述。5.vhdl區(qū)別于其他的hdl,已形成標準,其代碼在不同的系統(tǒng)中可交換建模。2.總體方案設計第一種方案:通過

10、把脈沖電路,開關控制電路,三進制電路,譯碼與顯示驅動電路等模塊組合來實現(xiàn)。首先,通過555定時器構成多諧振蕩器產(chǎn)生頻率為1hz的脈沖信號,該脈沖信號用于供給雙j-k觸發(fā)器構成的三進制計數(shù)器和開關控制電路中的三輸入與非門的輸入信號。其次,雙j-k觸發(fā)器構成的三進制計數(shù)器產(chǎn)生00,01,10的循環(huán)信號,此信號提供左轉,右轉,停止的原始信號。最后,左轉,右轉,停止的原始信號通過6個與非門以及7410提供的高低電位信號,將原始信號分別輸入到左,右的3個汽車尾燈上,得到的信號即可輸入到發(fā)光二極管上,實現(xiàn)所需功能。這種方案的實現(xiàn)復雜,成本高,調試起來不容易成功,太過繁瑣。 第二種方案:用復雜可編程器件cp

11、ld,用vhdl對汽車尾燈控制電路進行設計,并用quartus軟件進行cpld芯片的編譯和下載,生成是集成化的數(shù)字電路。這種方案沒有傳統(tǒng)設計中的接線問題,硬件功能的改變可以通過編程來修改,所以故障率低,可靠性高,而且體積小,體現(xiàn)了eda技術在數(shù)字電路設計中的優(yōu)越性。3.protel各電路模塊及分析3.1時鐘產(chǎn)生電路部分時鐘產(chǎn)生電路是由有源晶振實現(xiàn)的圖5.1.1時鐘電路3.2電源供應電路部分此電源供應電路由四個三極管和一個齊納二極管和電容加上lm2576構成,為總電路提供電源如下圖5.2.1。圖5.2.1電源供應電路lm2576是降壓型開關穩(wěn)壓器,lm2576具有非常小的電壓調整率和電流調整率,

12、lm2576具有3a 的負載驅動能力,lm2576能夠輸出3.3v、5v、12v、15v 的固定電壓和電壓可調節(jié)的可調電壓輸出方式。lm2576 應用時比較簡單且外圍元件較少,lm2576內置頻率補償電路和固定頻率振蕩器。 lm2576 系列產(chǎn)品的開關頻率為52khz,所以應用時可以使用小尺寸的濾波元件。 lm2576 可以高效的取代一般的三端線性穩(wěn)壓器,lm2576能夠充分的減小散熱片的面積, lm2576在一些應用條件下甚至可以不使用散熱片。在規(guī)定的輸入電壓和輸出負載的條件下,lm2576 輸出電壓的誤差范圍為4;振蕩器的振蕩頻率誤差范圍為10;典型的待機電流為50a,芯片內置過流保護電路

13、和過熱保護電路。 開關電壓調節(jié)器lm2576的詳細介紹 特點 1,3.3v、5v、12v、15v 的固定電壓輸出和可調節(jié)電壓輸出 2,可調節(jié)電壓輸出的范圍為1.23v 到30v,其線性調整率和負載調整率最大可以有4的誤差。 3,負載電流達到3a 4,輸入電壓達到36v 5,只需四個外圍元件 6,內置固定頻率為52khz 的振蕩器 7,高效率 8,內置過熱保護電路和過流保護電路3.3現(xiàn)場可編程門陣列fpga電路部分 本電路選用的是現(xiàn)場可編程門陣列fpga,采用的是epf10k10lc84型號,epf10k10lc84屬于fpga中flex10k系列,如下圖5.3.1。圖5.3.15現(xiàn)場可編程門陣

14、列fpga電路它是altera公司生產(chǎn)的很成功的fpga系列之一。flex10k系列采用重復可構造的cmos sram工藝,把連續(xù)的快速通道互連與獨特的嵌入式陣列結構相結合,同時也結合了眾多可編程器件的優(yōu)點來完成普通門陣列的宏功能。每個flex10k器件還包括一個嵌入式陣列和一個邏輯陣列,它能讓設計人員輕松地開發(fā)出集儲存器、數(shù)字信號處理器及特殊邏輯等強大功能于一身的芯片。此外,flex10k所具有的多電壓功能可以全面支持以不同電壓工作的產(chǎn)品,同時它還備有多款封裝供設計者選擇。3.4jtag下載電路部分圖5.4.1 jtag下載電路jtag也是一種國際標準測試協(xié)議(ieee 1149.1兼容),

15、主要用于芯片內部測試?,F(xiàn)在多數(shù)的高級器件都支持jtag協(xié)議,如dsp、fpga器件等。標準的jtag接口是4線:tms、tck、tdi、tdo,分別為模式選擇、時鐘、數(shù)據(jù)輸入和數(shù)據(jù)輸出線。 相關jtag引腳的定義為:tck為測試時鐘輸入;tdi為測試數(shù)據(jù)輸入,數(shù)據(jù)通過tdi引腳輸入jtag接口;tdo為測試數(shù)據(jù)輸出,數(shù)據(jù)通過tdo引腳從jtag接口輸出;tms為測試模式選擇,tms用來設置jtag接口處于某種特定的測試模式;trst為測試復位,輸入引腳,低電平有效。gnd ti還定義了一種叫sbw-jtag的接口,用來在引腳較少的芯片上通過最少的利用引腳實現(xiàn)jtag接口,它只有兩條線,sbwt

16、ck,sbwtdio。實際使用時一般通過四條線連接,vcc,sbwtck,sbtdio,gnd,這樣就可以很方便的實現(xiàn)連接,又不會占用大量引腳。 jtag最初是用來對芯片進行測試的,基本原理是在器件內部定義一個tap(test access port測試訪問口)通過專用的jtag測試工具對進行內部節(jié)點進行測試。jtag測試允許多個器件通過jtag接口串聯(lián)在一起,形成一個jtag鏈,能實現(xiàn)對各個器件分別測試?,F(xiàn)在,jtag接口還常用于實現(xiàn)isp(in-system programmable;在線編程),對flash等器件進行編程。 jtag編程方式是在線編程,傳統(tǒng)生產(chǎn)流程中先對芯片進行預編程現(xiàn)再

17、裝到板上因此而改變,簡化的流程為先固定器件到電路板上,再用jtag編程,從而大大加快工程進度。jtag接口可對psd芯片內部的所有部件進行編程。 在硬件結構上,jtag 接口包括兩部分:jtag 端口和控制器。與jtag 接口兼容的器件可以是微處理器(mpu)、微控制器(mcu)、pld、cpl、fpga、asic 或其它符合ieee1149.1 規(guī)范的芯片。ieee1149.1 標準中規(guī)定對應于數(shù)字集成電路芯片的每個引腳都設有一個移位寄存單元,稱為邊界掃描單元bsc。它將jtag 電路與內核邏輯電路聯(lián)系起來,同時隔離內核邏輯電路和芯片引腳。由集成電路的所有邊界掃描單元構成邊界掃描寄存器bsr

18、。邊界掃描寄存器電路僅在進行jtag 測試時有效,在集成電路正常工作時無效,不影響集成電路的功能。3.5發(fā)光顯示部分發(fā)光顯示部分由六個發(fā)光二極管組成圖5.5.1發(fā)光顯示部分 led(light emitting diode),發(fā)光二極管,是一種固態(tài)的半導體器件,它可以直接把電轉化為光。led的心臟是一個半導體的晶片,晶片的一端附在一個支架上,一端是負極,另一端連接電源的正極,使整個晶片被環(huán)氧樹脂封裝起來。半導體晶片由兩部分組成,一部分是p型半導體,在它里面空穴占主導地位,另一端是n型半導體,在這邊主要是電子。但這兩種半導體連接起來的時候,它們之間就形成一個p-n結。當電流通過導線作用于這個晶片

19、的時候,電子就會被推向p區(qū),在p區(qū)里電子跟空穴復合,然后就會以光子的形式發(fā)出能量,這就是led發(fā)光的原理。而光的波長也就是光的顏色,是由形成p-n結的材料決定的。4.各單元模塊的設計4.1汽車狀態(tài)模塊4.1.1設計的汽車狀態(tài)模塊汽車狀態(tài)模塊如圖3.1.1所示,源程序如下,汽車狀態(tài)模塊precontrol。圖3.1.1 汽車狀態(tài)模塊precontrol其中l(wèi)eft、right、stop分別表示左轉、右轉和剎車信號,對應的輸出引腳lft、rit、lr分別表示左有效、右有效和剎車有效。真值表如表2.1.1所示:信號leftrightstoplftritlr意義邏輯狀態(tài)000000正常行駛100100

20、左轉彎010010右轉彎001001剎車表3.1.1 汽車狀態(tài)控制信號與輸出信號真值表4.1.2汽車狀態(tài)控制的程序代碼汽車狀態(tài)控制的程序如下library ieee;use ieee.std_logic_1164.all;entity precontrol isport(left:in std_logic;right:in std_logic;stop:in std_logic;lft:out std_logic;rit:out std_logic;lr:out std_logic);end precontrol;architecture one of precontrol isbeginpr

21、ocess(left,right,stop)variable condition:std_logic_vector(2 downto 0);begincondition:=left&right&stop;case condition iswhen 000=lft=0;rit=0;lrlft=1;rit=0;lrlft=0;rit=1;lrlft=0;rit=0;lrnull;end case;end process;end one;4.2 左尾燈控制模塊與右尾燈控制模塊4.2.1左尾燈控制模塊設計的左尾燈控制模塊如圖3.2.1所示,源程序見下圖3.2.1 左尾燈控制模塊leftcontrol對

22、各輸入與輸出引腳的說明如下:clk:接受時鐘信號,用于尾燈的順序循環(huán)亮滅。clk將接收兩種不同頻率的時鐘信號即周期為2s和500ms中的一種,具體接收哪一種由該模塊的時鐘使能輸出信號clk2sen和clk500msen決定。en:與汽車狀態(tài)模塊precontrol的lft引腳連接,用于使能該左尾燈控制模塊。lr:汽車剎車輸入信號,與汽車狀態(tài)控制模塊precontrol的lr引腳相連。由于當汽車左轉彎、正常行駛和剎車時,該模塊en引腳都將接收到低電平,故而需要通過判斷l(xiāng)r和en的引腳電平才能判斷汽車當前是處于剎車狀態(tài)還是右轉彎或正常行駛狀態(tài)。l2、l1、l0:汽車左邊的三個尾燈控制信號。clk2

23、sen、clk500msen:時鐘的選擇信號。這兩個引腳將根據(jù)汽車當前所處的狀態(tài)使能相應的時鐘。當汽車出處于左轉彎時,clk2sen輸出高電平,clk500msen輸出低電平,此時clk將接收到周期為2s的時鐘信號以滿足按l1l1l2l1l2l3全亮l1依次序循環(huán)滅,再依次亮滅,亮持續(xù)為2s對時鐘的需要;當汽車處于剎車狀態(tài)時,clk2sen輸出低電平,clk500msen輸出高電平,此時clk將接收到周期為500ms的時鐘信號以滿足汽車剎車是所有尾燈以2hz的頻率閃爍的設計要求。4.2.2 汽車左燈hdl語言如下 library ieee;use ieee.std_logic_1164.all

24、;use ieee.std_logic_unsigned.all;entity leftcontrol isport(clk:in std_logic;en:in std_logic;-汽車左轉彎模塊使能信號輸入lr:in std_logic;-汽車剎車信號輸入,高有效l2,l1,l0:out std_logic;-三個左尾燈控制信號輸出clk2sen,clk500msen:out std_logic);-2s和500ms時鐘使能信號輸出end entity leftcontrol;architecture one of leftcontrol issignal clkop:std_logic

25、;beginclkop=clk;com1:process(en,clk,lr)variable tmp:std_logic_vector(2 downto 0);variable clken:std_logic_vector(1 downto 0);beginif lr=1 then tmp:=clkop&clkop&clkop;clken:=01;-汽車剎車,周期為500ms的時鐘使能elsif clkevent and clk=1 then if en=1 then -汽車左轉彎if tmp=111 then tmp:=110;clken:=10;-周期為2s的時鐘使能elsif tmp=

26、000 then tmp:=111;-在左轉彎時燈全滅后再全息亮else tmp:=tmp(1 downto 0)&1;-end if; end if; end if;r2=tmp(2);l1=tmp(1);l0=tmp(0);clk2sen=clken(1);clk500msen=clken(0);end process;end one;4.2.3 設計的右尾燈控制模塊右尾燈控制模塊如圖3.2.4所示,源程序見下 圖3.2.4右尾燈控制模塊rightcontrol右尾燈控制模塊rightcontrol各引腳功能與左尾燈控制模塊leftcontrol各引腳功能相似,這里不再詳述。4.2.4汽車

27、右燈hdl語言如下library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity rightcontrol isport(clk:in std_logic;en:in std_logic; -汽車右轉彎模塊使能信號輸入lr:in std_logic; -汽車剎車信號輸入,高有效r2,r1,r0:out std_logic; -三個右尾燈控制信號輸出clk2sen,clk500msen:out std_logic); -2s和500ms時鐘使能信號輸出end entity rightcontrol;a

28、rchitecture one of rightcontrol issignal clkop:std_logic;beginclkop=clk;com1:process(en,clk,lr)variable tmp:std_logic_vector(2 downto 0);variable clken:std_logic_vector(1 downto 0);beginif lr=1 then tmp:=clkop&clkop&clkop;clken:=01;-汽車剎車,周期為500ms的時鐘使能elsif clkevent and clk=1 then if en=1 then -汽車右轉彎

29、if tmp=111 then tmp:=110;clken:=10;-周期為2s的時鐘使能elsif tmp=000 then tmp:= 111;-在右轉彎時燈全滅后再全息亮else tmp:=tmp(1 downto 0)&1; -燈每隔2s亮一個end if; end if; end if;r2=tmp(2);r1=tmp(1);r0=tmp(0);clk2sen=clken(1);clk500msen=clken(0);end process;end one;4.3 時鐘模塊4.3.1時鐘控制模塊2s時鐘模塊和500ms時鐘模塊分別如圖3.3.1和圖3.3.2所示: 圖3.3.1 2

30、s時鐘模timer2s 圖3.3.2 500ms時鐘模塊timer500ms左尾燈控制模塊left通過clk2sen和clk500msen來控制該模塊clk接收到的時鐘信號的實現(xiàn)原理如下圖3.3.3所示:圖3.3.3 時鐘選擇實現(xiàn)原理圖當汽車左轉彎時,左尾燈控制模塊leftcontrol使能,此時該模塊clk2sen輸出高電平,clk500msen輸出低電平,并分別與timer2s和timer500ms相與,則timer500ms相當于被禁止,后經(jīng)或門連接至該leftcontrol模塊的clk引腳,故而clk將接收到timer2s發(fā)出的周期為2s的時鐘信號。當汽車剎車時,左尾燈控制模塊left

31、control也使能,此時該模塊clk2sen輸出低電平,clk500msen輸出高電平,并分別與timer2s和timer500ms相與,則timer2s相當于被禁止,后經(jīng)或門連接至該leftcontrol模塊的clk引腳,故而clk將接收到timer500ms發(fā)出的周期為500ms的時鐘信號。當汽車正常行駛時尾燈均滅,此時不需要時鐘參與,左尾燈控制模塊leftcontrol的clk2sen和clk500msen處于任意狀態(tài)。4.3.2周期為2s的時鐘源程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned

32、.all;entity timer2s isport(clk:in std_logic;clkout:out std_logic);end entity timer2s;architecture one of timer2s isbeginprocess(clk)variable counter:std_logic_vector(7 downto 0);variable qclkout:std_logic;begin if clkevent and clk=1 then -以128hz為基本時鐘源,對其上升沿計數(shù)if counter=11111111 then -計數(shù)個數(shù)為256個即25612

33、8hz2sqclkout:=not qclkout;clkout=qclkout;counter:=00000000;-計數(shù)器滿則輸出反相計數(shù)器清零else counter:=counter+1;-每捕捉到一個基本時鐘源上升沿計數(shù)器加一end if; end if; end process;end architecture one;同樣,右尾燈控制模塊rightcontrol的clk時鐘信號的接收與左尾燈控制模塊leftcontrol的實現(xiàn)原理一樣,它們共用timer2s和timer500ms這兩個時鐘模塊,這里不再詳述其實現(xiàn)原理。4.3.3周期為500ms的時鐘源程序library ieee

34、;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity timer500ms isport(clk:in std_logic;clkout:out std_logic); architecture one of timer500ms isbeginprocess(clk)variable counter:std_logic_vector(7 downto 0);variable qclkout:std_logic;begin if clkevent and clk=1 then -以128hz為基本時鐘源,對其上升

35、沿計數(shù)if counter=01000000 then-計數(shù)個數(shù)為64個即64128hz0.5sqclkout:=not qclkout;clkout=qclkout;counter:=00000000;-計數(shù)器滿則輸出反相計數(shù)器清零else counter:=counter+1; -每捕捉到一個基本時鐘源上升沿計數(shù)器加一 end if; end if; end process;end architecture on4.4各模塊流程圖5 系統(tǒng)的仿真與調試5.1汽車狀態(tài)模塊的仿真與分析當輸入的信號為左轉,右轉或停止的其中一個信號時又vhdl程序實現(xiàn)后,波形如下圖4.1.1汽車狀態(tài)仿真波形如圖當輸

36、入端有一個為高電平時,輸出端相應的輸出高電平,表明在狀態(tài)中高電平試有效5.2汽車尾燈左燈模塊仿真及分析 汽車尾燈左燈模版由vhdl仿真實現(xiàn)后波形如下圖4.2.1汽車尾燈左燈模塊仿真波形對時序仿真圖進行分析:clk為時鐘信號 en和ir 分別為使能信號en2s和en500ms分別為周期時鐘信號。當en和en500ms輸入為高電平時l0,l1,l2同時開始亮,又依次熄滅,再依次亮滅。 5.3汽車尾燈右燈模塊仿真及分析汽車尾燈右燈模塊由vhdl仿真實現(xiàn)后波形如下圖4.3.1汽車尾燈右燈模塊仿真波形對時序仿真圖進行分析:clk為時鐘信號 en和ir 分別為使能信號en2s和en500ms分別為周期時鐘信號。

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權益所有人同意不得將文件中的內容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
  • 6. 下載文件中如有侵權或不適當內容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論