版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡介
1、一設(shè)計(jì)的基本原理和框圖 1.1基本原理:數(shù)字頻率計(jì)是用數(shù)字顯示被測信號(hào)的頻率的儀器,被測信號(hào)可以是正弦波,方波或者其他周期性變化的信號(hào),它的基本原理是時(shí)基信號(hào)發(fā)生器提供標(biāo)準(zhǔn)的時(shí)基脈沖信號(hào),若其周期為1s則門控電路的輸出信號(hào)持續(xù)時(shí)間亦準(zhǔn)確到1s。閘門電路有標(biāo)準(zhǔn)秒信號(hào)控制,當(dāng)秒信號(hào)到來時(shí)閘門開通,信號(hào)通過閘門送到計(jì)數(shù)譯碼顯示電路,秒信號(hào)結(jié)束時(shí)閘門關(guān)閉,計(jì)數(shù)器停止計(jì)數(shù),由于計(jì)數(shù)器記得脈沖數(shù)N的是一秒內(nèi)的累積數(shù),所以被測頻率是NHZ。閘門時(shí)間可以取大于或者小于1秒的值,測得的頻率時(shí)間間隔與閘門時(shí)間的取值成正比,在這里取的閘門時(shí)間為1s。在此,數(shù)字頻率計(jì)由分頻器,片選電路,計(jì)數(shù)器,鎖存器,譯碼電路和顯示
2、電路作為主要組成部分。 1.2設(shè)計(jì)框圖如圖1.1所示:譯碼信號(hào)清零信號(hào)鎖存器計(jì)數(shù)器待測信號(hào)顯示器片選信號(hào)時(shí)鐘信號(hào)譯碼器片選分頻器 圖1.1二單元電路設(shè)計(jì) 2.1分頻電路模塊 分頻器在總電路中有兩個(gè)作用。由總圖框圖中分頻器有兩個(gè)輸出,一個(gè)給計(jì)數(shù)器,一個(gè)給鎖存器。時(shí)鐘信號(hào)經(jīng)過分頻電路形成了20分頻后的門信號(hào)。另一個(gè)給鎖存器作鎖存信號(hào),當(dāng)信號(hào)為低電平時(shí)就鎖存計(jì)數(shù)器中的數(shù)。 分頻電路圖如圖2.1 圖2.1 分頻電路圖2.2片選信號(hào)電路模塊 這個(gè)電路有兩個(gè)用途:一是為后面的片選電路產(chǎn)生片選信號(hào),二是為譯碼模塊提供選擇脈沖信號(hào)。 電路圖如圖2.2圖2.2 片選信號(hào)電路圖2.3計(jì)數(shù)器模塊 計(jì)數(shù)器模塊為該電路
3、中的核心模塊,它的功能是:當(dāng)門信號(hào)為上升沿時(shí),電路開始計(jì)算半個(gè)周期內(nèi)被測信號(hào)通過的周期數(shù),到下升沿后結(jié)束。然后送給鎖存器鎖存。計(jì)數(shù)器電路圖如圖2.3所示:圖2.3 計(jì)數(shù)器電路圖2.4鎖存器模塊 在分頻信號(hào)的下降沿到來時(shí),鎖存器將計(jì)數(shù)器的信號(hào)鎖存,然后送給編譯模塊中。其電路圖如圖2.4所示:圖2.4 鎖存器電路圖2.5譯碼信號(hào)模塊 此模塊是對(duì)四個(gè)鎖存器進(jìn)行選擇,按順序的將四個(gè)鎖存器中的數(shù)值送給譯碼模塊中譯碼。其電路圖如圖2.5圖2.5 譯碼信號(hào)電路圖2.6片選模塊 該模塊接收到片選信號(hào)后,輸出給顯示器,選擇顯示那個(gè)顯示管。其電路圖如圖2.6所示:圖2.6 片選電路圖2.7譯碼模塊譯碼模塊的作用就
4、是將譯碼信號(hào)模塊中選擇出的信號(hào)進(jìn)行譯碼,并將其送給顯示器。其電路圖如圖2.7所示:圖2.7 譯碼電路圖2.8總電路圖圖2.8總電路圖三編程下載 3.1分頻模塊的程序library ieee;use ieee.std_logic_1164.all;entity fen isport(clk:in std_logic; q:out std_logic);end fen;architecture fen_arc of fen isbeginprocess(clk)variable cnt:integer range 0 to 9;variable x:std_logic;beginif clkeve
5、nt and clk=1 then if cnt9 then cnt:=cnt+1; else cnt:=0;x:=not x;end if;end if;q=x;end process;end fen_arc;3.2片選信號(hào)模塊的程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity sel isport(clk:in std_logic; q:out std_logic_vector(2 downto 0);end sel;architecture sel_arc of sel is
6、beginprocess(clk)variable cnt:std_logic_vector(2 downto 0);beginif clkevent and clk=1 then cnt:=cnt+1;end if;q=cnt;end process;end sel_arc;3.3計(jì)數(shù)器模塊的程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity corna isport(clr,sig,door:in std_logic; alm:out std_logic; q3,q2,q1,q0
7、,dang:out std_logic_vector(3 downto 0);end corna;architecture corn_arc of corna isbeginprocess(door,sig)variable c3,c2,c1,c0:std_logic_vector(3 downto 0);variable x:std_logic;begin if sigevent and sig=1 then if clr=0 then alm=0;c3:=0000;c2:=0000;c1:=0000;c0:=0000; elsif door=0 then c3:=0000;c2:=0000
8、;c1:=0000;c0:=0000; elsif door=1 then if c01001 thenc0:=c0+1; elsec0:=0000;if c11001 thenc1:=c1+1;else c1:=0000;if c21001 thenc2:=c2+1;elsec2:=0000;if c31001 thenc3:=c3+1;elsec3:=0000;alm=1;end if; end if; end if; end if; end if;if c3/=0000 then q3=c3;q2=c2;q1=c1;q0=c0;dang=0100; elsif c2/=0000 then
9、 q3=0000;q2=c2;q1=c1;q0=c0;dang=0011; elsif c1/=0000 thenq3=0000;q2=0000;q1=c1;q0=c0;dang=0010; else q3=0000;q2=0000;q1=0000;q0=c0;dang=0001;end if; end if;end process; end corn_arc;3.4鎖存器模塊的程序library ieee;use ieee.std_logic_1164.all;entity lock isport(l:in std_logic; a4,a3,a2,a1,a0:in std_logic_vec
10、tor(3 downto 0); q4,q3,q2,q1,q0:out std_logic_vector(3 downto 0);end lock;architecture lock_arc of lock isbegin process(l) variable t4,t3,t2,t1,t0:std_logic_vector(3 downto 0);beginif levent and l=0 thent4:=a4;t3:=a3;t2:=a2;t1:=a1;t0:=a0;end if;q4=t4;q3=t3;q2=t2;q1=t1;q0qqqqqqqqqqqqqqqqqqqqqqqqqqclr
11、,sig=sig,door=t11,alm=alm,q3=t1,q2=t2,q1=t3,q0=t4,dang=t5);u2: fen port map (clk=clk,q=t11);u3: lock port map (l=t11,a4=t1,a3=t2,a2=t3,a1=t4,a0=t5,q4=t6,q3=t7,q2=t8,q1=t9,q0=t10);u4: sel port map (clk=clk,q=t20);u5: ch port map (sel=t20,a3=t6,a2=t7,a1=t8,a0=t9,dang=t10,q=t12);u6: disp port map (d=t1
12、2,q=q);u7: ym port map (d=t20,q=se);end architecture art;四仿真與調(diào)試 4.1分頻電路模塊的仿真 在quartus II中打開事先編譯好的程序,然后建立工程文件,再打開波形圖顯示窗口,設(shè)置好參數(shù),保存后編譯,編譯無錯(cuò)誤既可以生成電路圖。其仿真圖如圖4.1所示: 圖4.1 分頻電路仿真圖4.2片選信號(hào)電路的仿真 其仿真圖如圖4.2.圖4.2 片選信號(hào)電路仿真圖4.3計(jì)算器模塊的仿真 其仿真圖如圖4.3所示: 圖4.3 計(jì)算器電路仿真圖4.4鎖存器模塊仿真 其仿真圖如圖4.4所示: 圖4.4 鎖存器電路仿真圖4.5譯碼信號(hào)模塊的仿真 其仿真圖
13、如圖4.5. 圖4.5 譯碼信號(hào)電路仿真圖4.6片選模塊的仿真 其仿真圖如圖4.6 圖4.6 片選電路仿真圖4.7譯碼器模塊其仿真圖如4.7 圖4.7 譯碼電路仿真圖4.8總電路圖的仿真用頂層文件將七個(gè)模塊用導(dǎo)線相形成總電路圖。其仿真圖如圖4.8 圖4.8總電路仿真圖4.9硬件調(diào)試軟件仿真完成以后就與硬件相連,先設(shè)置好管腳。點(diǎn)擊“assingmengt”菜單的“pin planner”將管腳設(shè)置好,再在實(shí)驗(yàn)箱上按設(shè)置好的管腳用導(dǎo)線連起來,確定后編譯。關(guān)閉puartus軟件,將試驗(yàn)箱接入電腦,按提示安裝。重新打開軟件,點(diǎn)擊菜單“tools”中的”granmmer”確定接好USB接口。將實(shí)驗(yàn)箱的線接好后就可以運(yùn)行,看結(jié)果與仿真是否相符.點(diǎn)擊“start”將清零信號(hào)置低電平,顯示器顯示1 0000的字樣。將被側(cè)信號(hào)與時(shí)鐘信號(hào)設(shè)置合理的數(shù)值,將清理信號(hào)
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。
最新文檔
- 二零二五年度在線借款合同電子簽名法律適用研究3篇
- 二零二五年度某IT服務(wù)公司與企業(yè)客戶就IT運(yùn)維服務(wù)合同2篇
- 二零二五年度加工承攬合同標(biāo)的加工要求和質(zhì)量標(biāo)準(zhǔn)3篇
- 二零二五年度城市廣場草坪承包與公共藝術(shù)合同3篇
- 二零二五年度基樁檢測與監(jiān)測系統(tǒng)合同3篇
- 2025年度安徽省勞動(dòng)合同解除與賠償合同范本3篇
- 二零二五年度新型房產(chǎn)租賃及轉(zhuǎn)售一體化服務(wù)合同2篇
- 豆包制作課程設(shè)計(jì)
- 二零二五年度供水企業(yè)安全生產(chǎn)培訓(xùn)合同3篇
- 路基路面沉井課程設(shè)計(jì)
- 2023年希望杯數(shù)學(xué)培訓(xùn)100題-六年級(jí)(含答案)
- 一年級(jí)科學(xué)人教版總結(jié)回顧2
- 個(gè)人住房貸款提前還款月供及節(jié)省利息EXCEL計(jì)算
- 第五單元《圓》教材解析-人教版數(shù)學(xué)六年級(jí)上冊
- 患者突發(fā)昏迷應(yīng)急預(yù)案演練腳本-
- 智能機(jī)器人技術(shù)導(dǎo)論P(yáng)PT完整全套教學(xué)課件
- 危險(xiǎn)性較大的分部分項(xiàng)工程清單 及安全管理措施
- 中職英語語文版(2023)基礎(chǔ)模塊1 Unit 1 The Joys of Vocational School 單元測試題(含答案)
- 最全-房屋市政工程安全生產(chǎn)標(biāo)準(zhǔn)化指導(dǎo)圖冊
- 聚合物的流變性詳解演示文稿
- 壓力彈簧力度計(jì)算器及計(jì)算公式
評(píng)論
0/150
提交評(píng)論