版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡(jiǎn)介
1、 可以根據(jù)上圖看到,每次有定時(shí)信號(hào)輸入時(shí),都會(huì)引起輸出端連接到蜂鳴器的信號(hào) output 產(chǎn)生一段時(shí)間的高電平, 且高電平的持續(xù)時(shí)間比輸入定時(shí)信號(hào)時(shí)間更長(zhǎng)一些, 而且這個(gè)時(shí)間 也是一個(gè)定值。符合設(shè)計(jì)要求。9、總體仿真:由于本次實(shí)驗(yàn)中分頻器分頻設(shè)置過(guò)大,用 QuartusII 軟件無(wú)法正確實(shí)現(xiàn)仿真,非常抱歉。 四:源程序總程序結(jié)構(gòu)和原理圖: 總原理圖 說(shuō)明:圖中和結(jié)構(gòu)圖中的模塊對(duì)應(yīng)相同。各部分程序結(jié)構(gòu)及原理圖:1、 分頻器:結(jié)構(gòu)圖: 原理圖: 各部分代碼:(1div_50000(50000分頻器 :library ieee;use ieee.std_logic_1164.all;use ieee
2、.std_logic_unsigned.all;entity div_50000 isport(clk50MHz:in std_logic; -轉(zhuǎn)換前時(shí)鐘 50MHz clk1kHz:out std_logic; -轉(zhuǎn)換后時(shí)鐘 1kHz end div_50000;architecture behave of div_50000 issignal tmp:integer range 0 to 49999;beginp1:process(clk50MHzbeginif clk50MHz'event and clk50MHz='1' thenif tmp=249 thent
3、mp<=0;elsetmp<=tmp+1;end if;end if;end process p1;p2:process(clk50MHzbeginif clk50MHz'event and clk50MHz='1' thenif tmp=249 thenclk1kHz<='1'elseclk1kHz<='0'end if;end if;end process p2;end behave;(2div_10(10分頻器 :entity div_10 isport(clk1kHz:in std_logic; -轉(zhuǎn)換前時(shí)
4、鐘 1kHz clk100Hz:out std_logic; -轉(zhuǎn)換后時(shí)鐘 100Hz end div_10;architecture behave of div_10 issignal tmp:integer range 0 to 9;beginp1:process(clk1kHzbeginif clk1kHz'event and clk1kHz='1' thenif tmp=9 thentmp<=0;elsetmp<=tmp+1;end if;end if;end process p1;p2:process(clk1kHzbeginif clk1kHz&
5、#39;event and clk1kHz='1' thenif tmp=9 thenclk100Hz<='1'elseclk100Hz<='0'end if;end if;end process p2;end behave;2、 防抖模塊:library ieee;use ieee.std_logic_1164.all;entity fangdou isport( clk100Hz:in std_logic; -時(shí)鐘輸入input:in std_logic; -防抖器輸入output:out std_logic; -防抖器輸出end
6、 fangdou ;architecture behave of fangdou issignal inputemp1,inputemp2:std_logic;beginprocess(clk100Hzbeginif clk100Hz'event and clk100Hz='0' theninputemp2<=inputemp1;inputemp1<=input;end if;end process;output<=clk100Hz and inputemp1 and (not inputemp2;end behave;3、 模式控制模塊:librar
7、y ieee;use ieee.std_logic_1164.all;entity mode isport(clk1kHz:in std_logic; -時(shí)鐘輸入,來(lái)自時(shí)鐘模塊modecontrol:in std_logic; -用戶模式控制鍵輸入(BTN7timecontrol:in std_logic; -自動(dòng)模式切換控制輸入(當(dāng)爆炒模式運(yùn)行 3s 后自動(dòng) 進(jìn)入煎炒模式,來(lái)自倒計(jì)時(shí)模塊reset:in std_logic; -復(fù)位(關(guān)閉信號(hào),來(lái)自關(guān)閉模塊 1 文件準(zhǔn)備1 虛擬機(jī)安裝包:可以從 192.168.123.5softwareX虛擬機(jī)工具 vmwareVM5.5目錄下獲取 wind
8、ws 下的 虛擬安裝包:2 Linux 安裝包:可以從 192.168.123.5softwareC操作系統(tǒng)鏡像 LinuxRed Hat LinuxRedHat-AS4-U4目 錄下獲取:2 安裝 VMware-workstation 控制臺(tái)2.1在 Wind ows 下安裝虛擬機(jī)控制臺(tái)雙擊安裝文件:VMware-workstation-5.5.1-19175.exe 開(kāi)始安裝, 選擇安裝路徑, 一路點(diǎn)擊 【下 一步】按鈕,虛擬機(jī)即可安裝完成。接下來(lái)就可以進(jìn)行虛擬機(jī)的安裝了。2.2在 Linux 下安裝虛擬機(jī)控制臺(tái)假設(shè)安裝文件放在“/tmp"下??梢允褂孟旅娴拿罱鈮汉蟀惭b .2.
9、 cd ./vmware-distrib4. 下面按照提示選擇就可以了 , 如果沒(méi)有特殊要求 , 直接回車即可 .安裝完啟動(dòng) VM, 提示符下輸入命令 :vmware,就可以看到 VMware 的界面了 .3安裝 Linux 虛擬機(jī)在 VMware-workstation 控制臺(tái)中選擇 File->New->Virtual Machine進(jìn)入虛擬機(jī)安裝引導(dǎo)界面。 點(diǎn)擊【下一步】 選擇 custom ,點(diǎn)擊【下一步】 點(diǎn)擊【下一步】 選擇操作系統(tǒng)為:Linux , 操作系統(tǒng)版本這里選擇:Red Hat Linux, 可根據(jù)實(shí)際需要進(jìn)行選擇。 選擇完畢,點(diǎn)擊【下一步】 選擇實(shí)際的安裝路
10、徑,點(diǎn)擊【下一步】 選擇虛擬機(jī) CPU 是雙核還是單核,這里選擇單核,即 One 。點(diǎn)擊【下一步】 選擇操作系統(tǒng)運(yùn)行需要的內(nèi)存,這里選擇 512M ,選擇完畢點(diǎn)擊【下一步】 選擇網(wǎng)絡(luò)類型:Use bridged networking,點(diǎn)擊【下一步】 點(diǎn)擊【下一步】 選擇 Create a new virtual disk,點(diǎn)擊【下一步】 選擇 IDE ,點(diǎn)擊【下一步】 大小一個(gè),本例選擇的是 8GB ,則會(huì)生成 4個(gè) 2GB 大小的虛擬文件?!?Allocate all disk space now”是否現(xiàn)在分配磁盤容量選項(xiàng),如果選中則立即分配 8GB 磁盤, 這里不進(jìn)行勾選,則根據(jù)實(shí)際使用需
11、要進(jìn)行磁盤分配。 點(diǎn)擊【完成】 ,虛擬機(jī)的準(zhǔn)備工作就完成了。因本例使用映像文件進(jìn)行安裝,所以雙擊 VMware-workstation 控制臺(tái)中 Linux TAB頁(yè)面中右 下側(cè)的 CD-ROM(IDE 1:0 Auto detect,調(diào)出光驅(qū)。 在 Device 選項(xiàng)框中選擇 CD-ROM (IDE 1:0 Auto detect并雙擊, 彈出 CD-ROM device選項(xiàng)卡, 如下圖。 根據(jù)需要在 CD-ROM device選項(xiàng)卡中選擇 Use physical driver或 Use ISO image。 若從 光盤安裝則選擇前者, 從光盤映像文件安裝則選擇后者。 這里我們選擇后者,
12、即選擇 Use ISO image 并在它的輸入框內(nèi)指定光盤映像的第一個(gè)文件所在的位置, 即第一張光盤映像的存放 位置,點(diǎn)擊【 OK 】按鈕,安裝文件就準(zhǔn)備好了。點(diǎn)擊“ start this virtual machine”或者工具欄中的綠色箭頭(鼠標(biāo)放在上面顯示 power on 進(jìn)入安裝界面 鼠標(biāo)點(diǎn)進(jìn)虛擬機(jī)中,然后回車,系統(tǒng)開(kāi)始安裝 選擇 skip ,回車 選擇安裝過(guò)程中使用的語(yǔ)言,這里選擇 Chinese Simplied(簡(jiǎn)體中文 ,然后點(diǎn)擊【 Next 】 選擇鍵盤的配置,這里選擇 U.S.English ,點(diǎn)擊【下一步】 點(diǎn)擊【下一步】 點(diǎn)擊【下一步】 選擇【是】 ,對(duì)磁盤進(jìn)行初始
13、化 可以根據(jù)需要對(duì)磁盤進(jìn)行分區(qū),分區(qū)完畢,點(diǎn)擊【下一步】 點(diǎn)擊【下一步】 點(diǎn)擊【下一步】 選擇系統(tǒng)默認(rèn)語(yǔ)言:Chinese (P .R.of China ,點(diǎn)擊【下一步】 選擇時(shí)區(qū):亞洲 /上海,點(diǎn)擊【下一步】 本畫面為 root 密碼輸入畫面,在兩個(gè)文本框中輸入相同的內(nèi)容,且輸入內(nèi)容以 *號(hào)顯示。輸 入完畢,點(diǎn)擊【下一步】 點(diǎn)擊【下一步】 點(diǎn)擊【下一步】 點(diǎn)擊【繼續(xù)】 ,系統(tǒng)開(kāi)始安裝。注意:1、 系統(tǒng)安裝過(guò)程中需要更換光盤, 可以通過(guò)雙擊 VMWare 控制臺(tái)右下角的光盤調(diào)出光驅(qū)配 置頁(yè)面來(lái)進(jìn)行更換。更換之后,點(diǎn)擊【確定】 ,再點(diǎn)擊安裝頁(yè)面的【繼續(xù)】 ,安裝繼續(xù)。 2、 可以通過(guò) CTRL+
14、ALT在主機(jī)和虛擬機(jī)之間切換鼠標(biāo)。當(dāng)出現(xiàn)如下頁(yè)面時(shí), Linux 系統(tǒng)安裝完成。 點(diǎn)擊【重新引導(dǎo)】 ,開(kāi)始對(duì) Linux 系統(tǒng)進(jìn)行基本配置 點(diǎn)擊【下一步】 選擇“是,我同意這個(gè)許可協(xié)議(Y ” ,點(diǎn)擊【下一步】 點(diǎn)擊【下一步】 點(diǎn)擊【下一步】 若有 Red Hat 網(wǎng)絡(luò)登錄用戶則選擇第一項(xiàng),并輸入網(wǎng)絡(luò)登錄用戶名 /密碼;若沒(méi)有,但想建 一個(gè),則選擇第二項(xiàng)進(jìn)行建立。這里選擇第三項(xiàng),不進(jìn)行建立。點(diǎn)擊【下一步】 選擇“我現(xiàn)在還不能完成注冊(cè),請(qǐng)以后提醒” ,點(diǎn)擊【下一步】 輸入用戶名 /密碼,點(diǎn)擊【下一步】 點(diǎn)擊【下一步】 沒(méi)有其他需要安裝的文件,點(diǎn)擊【下一步】 ;如需要安裝 Linux 提供的軟件包
15、, 點(diǎn)擊 【安裝】 。 這里點(diǎn)擊【安裝】 ,安裝軟件包。 點(diǎn)擊【前進(jìn)】 選擇需要安裝的軟件,點(diǎn)擊【前進(jìn)】 點(diǎn)擊【前進(jìn)】測(cè)試方案 安裝過(guò)程中需要切換光盤,安裝才可順利進(jìn)行。軟件包安裝完成出現(xiàn)如下頁(yè)面: 點(diǎn)擊【結(jié)束】 ,然后點(diǎn)擊【下一步】 點(diǎn)擊【下一步】 測(cè)試方案 到此,Linux 系統(tǒng)的安裝配置完成。 現(xiàn)在我們給 Linux 操作系統(tǒng)配置網(wǎng)絡(luò)。 以 root 登錄后,選擇應(yīng)用程序->系統(tǒng)設(shè)置(System Settings)->網(wǎng)絡(luò)(Network) 。進(jìn)入網(wǎng)絡(luò) 設(shè)置(Network Configueation)畫面 選擇當(dāng)前的網(wǎng)絡(luò)設(shè)備(Device:eth0,Nickname:et
16、h0。Type:Ethernet) ,點(diǎn)擊【刪除】 選擇【是】 ,原有的網(wǎng)絡(luò)設(shè)備被刪除。 點(diǎn)擊【新建】 ,進(jìn)入設(shè)備類型選擇頁(yè)面,新建一個(gè)網(wǎng)絡(luò)設(shè)備。 選擇“以太網(wǎng)連接” ,點(diǎn)擊【前進(jìn)】 選擇“AMD Pcnet32(eth0),點(diǎn)擊【前進(jìn)】 ” 選擇靜態(tài)設(shè)置 IP 地址,輸入 IP 地址、子網(wǎng)掩碼、默認(rèn)網(wǎng)關(guān)。關(guān)鍵是要讓它和 VMnet 在一個(gè) 網(wǎng)段內(nèi)且子網(wǎng)掩碼要相同。 測(cè)試方案 點(diǎn)擊【激活】 ,然后重新啟動(dòng) Linux 系統(tǒng),使網(wǎng)絡(luò)設(shè)置生效。 下面是在 Linux 與 Windows 之間建立文件共享: 1、 使用 root 用戶登陸 2、 在 VMware-workstation 控制臺(tái)中,選
17、擇 VM->Settings->options->share folder,點(diǎn) 擊添加->下一步->在 Name 中輸入虛擬機(jī)操作系統(tǒng)中文件的名稱,在 Host folder 中 輸入共享的 windows 下的文件夾所在路徑->下一步->選擇 enable this share->完成。 3、 在 VMware-workstation 控制臺(tái)中,選擇 VM->Install VMware Tools. 出現(xiàn)一個(gè)對(duì) 話框,單擊“install”,安裝完畢后會(huì)在 Linux 的桌面上出現(xiàn)一個(gè)光盤的圖標(biāo),名 叫“VMware Tools” 4、
18、 進(jìn)入 media/cdrom 文件夾下,把文件“VMwareTools-5.5.0-19175.tar.gz”的文件 拷貝到 opt 下,進(jìn)行解壓: cp VMwareTools-5.5.0-19175.tar.gz /opt tar zxf VMwareTools-5.5.0-19175.tar.gz 5、 進(jìn)入終端, cd 命令進(jìn)入到解壓的文件里, 用 在命令行輸入: ./vmware-install.pl 命 令 6、 接著一路回車,就 ok 了。 7、 等安裝完畢后,查看 mnt 文件夾中如果出現(xiàn) hgfs 文件夾,則安裝成功。 8、 進(jìn)入虛擬機(jī), 共享文件出現(xiàn)在/mnt/hgfs
19、文件夾下。 4 安裝 SNMP 協(xié)議 4.1 Linux 下安裝 SNMP 協(xié)議 1. 確認(rèn)系統(tǒng)是否安裝了 snmp,如果有輸出類似 net- snmp-5.3.1-19.el5_1.4 表示已經(jīng)安 裝,否則請(qǐng)執(zhí)行安裝 # rpm -qa net-snmp 2. 安裝 net-snmp 通過(guò)網(wǎng)絡(luò) yum 安裝 # yum -y install net-snmp 或者找到光盤或者網(wǎng)上下載 rpm 包進(jìn)行安裝即可 安裝命令為 # rpm -ivh net-snmp-5.3.1-19.el5_1.4.rpm 3. 配置 snmp # cp /etc/snmpd.conf /etc/snmpd.con
20、f.bak # >/etc/snmpd.conf # vi /etc/snmpd.conf 輸入如下信息, 其中 localhost 表示允許訪問(wèn)該 snmp 的主機(jī)地址, public 為團(tuán)體名稱 com2sec roUser localhost public #如果需要 更多團(tuán)體和允許訪問(wèn)的主機(jī),增加如下行即可 測(cè)試方案 com2sec roUser 0.0.0.0/0 public group roGroup v1 roUser group roGroup v2c rouser view all included .1 includeAllDisks for all partitions and disks #增加上行可以監(jiān)控磁盤空間 access roGroup "" any noauth exact all none none 4. 重啟 snmp # service snmpd restart 5. 設(shè)置系統(tǒng)啟動(dòng)自動(dòng)啟動(dòng) # chkconfig
溫馨提示
- 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。
最新文檔
- 2025年度科研機(jī)構(gòu)臨時(shí)科研助理合同范本4篇
- 二零二五年度船舶駕駛培訓(xùn)船員聘用合同范本3篇
- 2025年互聯(lián)網(wǎng)廣告投放服務(wù)合同補(bǔ)充條款4篇
- 2025年度綠化帶施工與養(yǎng)護(hù)一體化勞務(wù)合同范例4篇
- 二零二五版股權(quán)代持合同變更及解除協(xié)議3篇
- 2025年度水利工程水資源利用與節(jié)約合同3篇
- 二零二五年度綜合性建筑拆除與重建施工合同2篇
- 詳細(xì)2024年度知識(shí)產(chǎn)權(quán)保護(hù)與許可合同
- 2025年西安學(xué)區(qū)房交易風(fēng)險(xiǎn)評(píng)估及保障合同2篇
- 2025年度高科技研發(fā)項(xiàng)目資金擔(dān)保合同范本4篇
- 2025年度土地經(jīng)營(yíng)權(quán)流轉(zhuǎn)合同補(bǔ)充條款范本
- 南通市2025屆高三第一次調(diào)研測(cè)試(一模)地理試卷(含答案 )
- Python試題庫(kù)(附參考答案)
- 聚酯合成副反應(yīng)介紹
- DB37-T 1342-2021平原水庫(kù)工程設(shè)計(jì)規(guī)范
- 電除顫教學(xué)課件
- 廣東省藥品電子交易平臺(tái)結(jié)算門戶系統(tǒng)會(huì)員操作手冊(cè)
- DB32T 3960-2020 抗水性自修復(fù)穩(wěn)定土基層施工技術(shù)規(guī)范
- 大斷面隧道設(shè)計(jì)技術(shù)基本原理
- 41某31層框架結(jié)構(gòu)住宅預(yù)算書(shū)工程概算表
- 成都市國(guó)土資源局關(guān)于加強(qiáng)國(guó)有建設(shè)用地土地用途變更和
評(píng)論
0/150
提交評(píng)論