有限狀態(tài)機的狀態(tài)編碼(第八節(jié))_第1頁
有限狀態(tài)機的狀態(tài)編碼(第八節(jié))_第2頁
有限狀態(tài)機的狀態(tài)編碼(第八節(jié))_第3頁
有限狀態(tài)機的狀態(tài)編碼(第八節(jié))_第4頁
有限狀態(tài)機的狀態(tài)編碼(第八節(jié))_第5頁
已閱讀5頁,還剩25頁未讀 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、VIII - Working with Sequential Logic Copyright 2004, Gaetano Borriello and Randy H. Katz1有限輸入串的識別器有限輸入串的識別器n設(shè)計要求:有限輸入串的識別器設(shè)計要求:有限輸入串的識別器q一個輸入端一個輸入端 (X) (X) 和一個輸出端和一個輸出端 (Z)(Z)q如果上次復(fù)位之后輸入沒有觀察到如果上次復(fù)位之后輸入沒有觀察到100100序列,那么只要序列,那么只要在輸入端檢測到在輸入端檢測到010010的輸入序列,輸出端即為的輸入序列,輸出端即為1 1n步驟步驟1: 1: 理解說明理解說明q最好寫出一些輸入樣

2、本和輸出行為最好寫出一些輸入樣本和輸出行為: :X: 0 0 1 0 1 0 1 0 0 1 0 Z: 0 0 0 1 0 1 0 1 0 0 0 X: 1 1 0 1 1 0 1 0 0 1 0 Z: 0 0 0 0 0 0 0 1 0 0 0 VIII - Working with Sequential Logic Copyright 2004, Gaetano Borriello and Randy H. Katz2有限輸入串的識別器有限輸入串的識別器n步驟步驟2: 2: 畫狀態(tài)圖畫狀態(tài)圖q假設(shè)用摩爾機實現(xiàn)假設(shè)用摩爾機實現(xiàn)q先畫出其必須識別的串先畫出其必須識別的串010 010 和和10

3、0100q只有一個輸入,則每個狀態(tài)應(yīng)只有一個輸入,則每個狀態(tài)應(yīng)該有兩個分支該有兩個分支S10S2001S310S4010 or 1S5000S60S00resetVIII - Working with Sequential Logic Copyright 2004, Gaetano Borriello and Randy H. Katz3有限輸入串的識別器有限輸入串的識別器n離開狀態(tài)離開狀態(tài)S3S3條件條件: : 已經(jīng)識別到已經(jīng)識別到 010010序列序列q如果下一位輸入為如果下一位輸入為0 0,那么狀態(tài)機已經(jīng)接收到,那么狀態(tài)機已經(jīng)接收到100 (100 (終終止止) ),到狀態(tài),到狀態(tài) S6

4、S6,即終止循環(huán)狀態(tài),即終止循環(huán)狀態(tài)q如果下一位輸入為如果下一位輸入為1 1,則狀態(tài)機接收序列為,則狀態(tài)機接收序列為0101 0101 , 01 (01 (狀態(tài)狀態(tài)S2)S2)n狀態(tài)狀態(tài)S1S1條件條件: S1: S1表示在接收表示在接收到到1 1之前的之前的0 0序列序列q只要輸入為只要輸入為0 0就會在此循環(huán)就會在此循環(huán)n狀態(tài)狀態(tài)S4S4條件條件: : S4 S4描述連描述連1 1序列的狀態(tài)序列的狀態(tài)只要輸入為只要輸入為1 1就會在此循環(huán)就會在此循環(huán)1.01.010.100S40S10S00S20101reset0 or 1S310S5000S60.1.010VIII - Working

5、with Sequential Logic Copyright 2004, Gaetano Borriello and Randy H. Katz4有限輸入串的識別器有限輸入串的識別器nS2S2和和S5S5仍然是不完整的條件仍然是不完整的條件qS2= S2= 01; 01; 如果下一個輸入為如果下一個輸入為 1,1,就不再是就不再是010010序列的前綴而序列的前綴而成為終止序列的前綴成為終止序列的前綴(01)1(00) (01)1(00) S4 S4 就是代表這種情況就是代表這種情況qS5 =S5 =10;10;如果下一個輸入為如果下一個輸入為1,1,則接收機的序列為則接收機的序列為1011

6、01,可能,可能為序列為序列010010的前綴,的前綴,S2S2就是代就是代表這種情況表這種情況n盡可能復(fù)用狀態(tài)盡可能復(fù)用狀態(tài)q尋找相同的意思尋找相同的意思q最小的狀態(tài)使代表狀態(tài)的位數(shù)最小的狀態(tài)使代表狀態(tài)的位數(shù)可以盡可能少可以盡可能少n一旦所有狀態(tài)有完整的條件轉(zhuǎn)換,一旦所有狀態(tài)有完整的條件轉(zhuǎn)換,意味著是一個最終狀態(tài)圖意味著是一個最終狀態(tài)圖1.01.010.100S40S10S00S20101reset0 or 1S310S5000S60.1.010.1011VIII - Working with Sequential Logic Copyright 2004, Gaetano Borriell

7、o and Randy H. Katz5有限輸入串的識別器有限輸入串的識別器n包括狀態(tài)分配(或狀態(tài)編碼)的包括狀態(tài)分配(或狀態(tài)編碼)的VerilogVerilog描述描述module string1 (clk, X, rst, Z);input clk, X, rst;output Z;parameter S0 = 3b000, S1= 3b001, S2 = 3b010, S3 = 3b011, S4 = 3b100,S5 = 3b101, S6 = 3b110;reg 2:1 state;reg 2:1 next_state;always (posedge clk) if (rst) st

8、ate = S0; else state = next_state; assign Z = (state = S2);always (state or X) case (state) S0: if (X) next_state = S4 ;else next_state = S1; S1: if (X) next_state = S2; else next_state = S1; S2: if (X) next_state = S4 ;else next_state = S3; S3: if (X) next_state = S2 ;else next_state = S6; S4: if (

9、X) next_state = S4 ;else next_state = S5; S5: if (X) next_state = S2 ;else next_state = S6; S6: next_state = S6; default: next_state = S0; endcaseendmoduleVIII - Working with Sequential Logic Copyright 2004, Gaetano Borriello and Randy H. Katz6有限輸入串的識別器(測試程序)有限輸入串的識別器(測試程序)timescale 1ns/1nsinclude .

10、/seq.vmodule seqdet_Top; reg clk,rst; reg10:0 data; wire z,x; assign x=data10; always #10 clk = clk; always (posedge clk) data=data9:0,data10; initial begin clk=0; rst=0; #2 rst=1; #30 rst=0; data =b1010_1001_00; #500 $stop; end string1 m(clk,x,rst,z); endmodule VIII - Working with Sequential Logic

11、Copyright 2004, Gaetano Borriello and Randy H. Katz7狀態(tài)分配狀態(tài)分配n狀態(tài)分配是選擇二進制位向量分配給每個符號狀態(tài)狀態(tài)分配是選擇二進制位向量分配給每個符號狀態(tài)q如果如果m m個狀態(tài)用個狀態(tài)用n n位來對狀態(tài)進行編碼,則可能的分配方案位來對狀態(tài)進行編碼,則可能的分配方案有有2 2n n!/(2!/(2n n m)! m)! q簡單的按照二進制順序來進行狀態(tài)分配,設(shè)計者僅需要保簡單的按照二進制順序來進行狀態(tài)分配,設(shè)計者僅需要保證每個狀態(tài)對應(yīng)唯一的編碼,以保證組合邏輯能區(qū)分各個證每個狀態(tài)對應(yīng)唯一的編碼,以保證組合邏輯能區(qū)分各個狀態(tài)狀態(tài)q單點編碼是用

12、單點編碼是用m m位狀態(tài)位編碼位狀態(tài)位編碼m m個狀態(tài),每個狀態(tài)的單點編個狀態(tài),每個狀態(tài)的單點編碼只有在對應(yīng)的位上為碼只有在對應(yīng)的位上為1 1,在其它位上均為,在其它位上均為0 0q啟發(fā)式編碼能實現(xiàn)良好的狀態(tài)分配,但不能保證是好的電啟發(fā)式編碼能實現(xiàn)良好的狀態(tài)分配,但不能保證是好的電路實現(xiàn)路實現(xiàn) 實現(xiàn)時序邏輯網(wǎng)絡(luò)所需門的數(shù)量嚴重依賴于如何將編碼后實現(xiàn)時序邏輯網(wǎng)絡(luò)所需門的數(shù)量嚴重依賴于如何將編碼后的邏輯值分配給符號狀態(tài),最優(yōu)的分配方案的唯一途徑是嘗試的邏輯值分配給符號狀態(tài),最優(yōu)的分配方案的唯一途徑是嘗試所有的分配方案所有的分配方案VIII - Working with Sequential Log

13、ic Copyright 2004, Gaetano Borriello and Randy H. Katz8狀態(tài)分配策略狀態(tài)分配策略n可能的策略可能的策略q順序編碼順序編碼q隨機編碼隨機編碼q單點編碼單點編碼q面向輸出的編碼面向輸出的編碼q啟發(fā)式編碼啟發(fā)式編碼n不能保證結(jié)果是最優(yōu)的不能保證結(jié)果是最優(yōu)的 另一個復(fù)雜的問題另一個復(fù)雜的問題VIII - Working with Sequential Logic Copyright 2004, Gaetano Borriello and Randy H. Katz9順序編碼順序編碼n簡單的將符號狀態(tài)名字替換成為規(guī)則的編碼,簡單的將符號狀態(tài)名字替換成

14、為規(guī)則的編碼,設(shè)計者僅需要保證每個狀態(tài)對應(yīng)唯一的編碼,設(shè)計者僅需要保證每個狀態(tài)對應(yīng)唯一的編碼,以保證組合邏輯能夠區(qū)分各個狀態(tài)以保證組合邏輯能夠區(qū)分各個狀態(tài)VIII - Working with Sequential Logic Copyright 2004, Gaetano Borriello and Randy H. Katz10單點編碼單點編碼n簡單簡單q容易編碼、容易診斷和修改容易編碼、容易診斷和修改n小規(guī)模的邏輯函數(shù)小規(guī)模的邏輯函數(shù)n適合于適合于FPGAFPGA實現(xiàn)實現(xiàn)q大量的觸發(fā)器可用大量的觸發(fā)器可用n對大的狀態(tài)機不實用對大的狀態(tài)機不實用q太多的狀態(tài)需要太多的太多的狀態(tài)需要太多的fl

15、ip-flopsflip-flopsq對大的有限狀態(tài)機劃分成小塊可用單點編碼對大的有限狀態(tài)機劃分成小塊可用單點編碼n對單點編碼進行一些改變對單點編碼進行一些改變qone-hot + all-0one-hot + all-0 用用m m位狀態(tài)位編碼位狀態(tài)位編碼m m個狀態(tài),每個狀態(tài)的單點編碼只有在對應(yīng)個狀態(tài),每個狀態(tài)的單點編碼只有在對應(yīng)的位上為的位上為1 1,在其它位上均為,在其它位上均為0 0VIII - Working with Sequential Logic Copyright 2004, Gaetano Borriello and Randy H. Katz11隨機編碼隨機編碼n這是更

16、簡單的策略,隨機選擇可能的編碼進這是更簡單的策略,隨機選擇可能的編碼進行分配,它僅需要保證每個狀態(tài)對應(yīng)唯一的行分配,它僅需要保證每個狀態(tài)對應(yīng)唯一的編碼,以保證組合邏輯能夠區(qū)分各個狀態(tài)編碼,以保證組合邏輯能夠區(qū)分各個狀態(tài)VIII - Working with Sequential Logic Copyright 2004, Gaetano Borriello and Randy H. Katz12面向輸出的編碼面向輸出的編碼n對于摩爾型,輸出直接與狀態(tài)位有關(guān),但如果設(shè)對于摩爾型,輸出直接與狀態(tài)位有關(guān),但如果設(shè)計者直接實現(xiàn)摩爾型輸出計者直接實現(xiàn)摩爾型輸出( (即觸發(fā)器的輸出就是狀即觸發(fā)器的輸出就是

17、狀態(tài)機的輸出),則可以使用輸出來區(qū)別狀態(tài)態(tài)機的輸出),則可以使用輸出來區(qū)別狀態(tài)n對于整個狀態(tài)機都使用面向輸出的編碼方式并不對于整個狀態(tài)機都使用面向輸出的編碼方式并不是很好的策略,明智的使用部分輸出作為編碼,是很好的策略,明智的使用部分輸出作為編碼,也許能減少狀態(tài)位的數(shù)量也許能減少狀態(tài)位的數(shù)量VIII - Working with Sequential Logic Copyright 2004, Gaetano Borriello and Randy H. Katz13啟發(fā)式方法啟發(fā)式方法n該方法試圖縮短相關(guān)狀態(tài)間的布爾空間的距離。如狀態(tài)該方法試圖縮短相關(guān)狀態(tài)間的布爾空間的距離。如狀態(tài)Y Y用狀

18、用狀態(tài)態(tài)X X轉(zhuǎn)換而來,則它們的狀態(tài)編碼中的不同比特位應(yīng)盡量少轉(zhuǎn)換而來,則它們的狀態(tài)編碼中的不同比特位應(yīng)盡量少n狀態(tài)圖:類似于卡諾圖,提供觀察狀態(tài)分配的相鄰性的方法狀態(tài)圖:類似于卡諾圖,提供觀察狀態(tài)分配的相鄰性的方法。 狀態(tài)圖中的方格按狀態(tài)圖中的方格按照狀態(tài)位的二進制值照狀態(tài)位的二進制值進行索引,給出該編進行索引,給出該編碼的狀態(tài)便放在圖中碼的狀態(tài)便放在圖中對應(yīng)的的方格里對應(yīng)的的方格里VIII - Working with Sequential Logic Copyright 2004, Gaetano Borriello and Randy H. Katz14最少位變化啟發(fā)式方法最少位變化啟

19、發(fā)式方法n目的是使所有狀態(tài)間的轉(zhuǎn)換中發(fā)生變化的位數(shù)最少目的是使所有狀態(tài)間的轉(zhuǎn)換中發(fā)生變化的位數(shù)最少n第二種分配方案:第二種分配方案: 分配分配S0S0,由于復(fù)位邏輯工作,通常將全,由于復(fù)位邏輯工作,通常將全0 0分配給起始狀態(tài)分配給起始狀態(tài) 接下來分配接下來分配S1S1、S2S2,將它們放在,將它們放在S0S0鄰近位置鄰近位置 然后將然后將S3S3放在放在S1S1、S2S2之間之間 最后將最后將S4S4放在放在S3S3附近附近VIII - Working with Sequential Logic Copyright 2004, Gaetano Borriello and Randy H. K

20、atz15IQQ+OiacjibckIQQ+OiabjkaclIQQ+Oiabjicdjc = i * a + i * bb = i * ac = k * aj = i * a + i * cb = i * ad = i * ci / ji / kabcabci / jk / lbdi / jaci / j基于次態(tài)和輸入基于次態(tài)和輸入/輸出的啟發(fā)式方法輸出的啟發(fā)式方法n最高優(yōu)先級:在給定的輸入轉(zhuǎn)換條件下,具有相同次態(tài)的狀態(tài)最高優(yōu)先級:在給定的輸入轉(zhuǎn)換條件下,具有相同次態(tài)的狀態(tài)應(yīng)該在狀態(tài)圖中放到鄰近的位置應(yīng)該在狀態(tài)圖中放到鄰近的位置n中等優(yōu)先級:具有相同現(xiàn)態(tài)的次態(tài)應(yīng)放在狀態(tài)圖中鄰近的位置中等優(yōu)先

21、級:具有相同現(xiàn)態(tài)的次態(tài)應(yīng)放在狀態(tài)圖中鄰近的位置n最低優(yōu)先級:在給定輸入的情況下,具有相同輸出的狀態(tài)應(yīng)該最低優(yōu)先級:在給定輸入的情況下,具有相同輸出的狀態(tài)應(yīng)該放在狀態(tài)中鄰近的位置上放在狀態(tài)中鄰近的位置上VIII - Working with Sequential Logic Copyright 2004, Gaetano Borriello and Randy H. Katz16例例1:3比特序列檢測器,當檢測到比特序列檢測器,當檢測到010或或110時輸出時輸出為為1n最高優(yōu)先級:相同輸入下具有同一次態(tài)最高優(yōu)先級:相同輸入下具有同一次態(tài)的狀態(tài)。的狀態(tài)。S3、S4n中等優(yōu)先級:具有相同狀態(tài)的次態(tài)

22、。中等優(yōu)先級:具有相同狀態(tài)的次態(tài)。S3、S4n最低優(yōu)先級:在給定輸入條件下具有相最低優(yōu)先級:在給定輸入條件下具有相同輸出行為的狀態(tài)。同輸出行為的狀態(tài)。 0/0:S0、S1 、S3 1/0:S0、S1 、S3、S4S0S1S3S4X/01/01/00/10/0X/0VIII - Working with Sequential Logic Copyright 2004, Gaetano Borriello and Randy H. Katz174 4比特序列檢查器比特序列檢查器n最高優(yōu)先級:相同輸入下具有同最高優(yōu)先級:相同輸入下具有同一次態(tài)的狀態(tài)。一次態(tài)的狀態(tài)。S3、S4 S7、S10n中等優(yōu)先級

23、:具有相同現(xiàn)態(tài)的次中等優(yōu)先級:具有相同現(xiàn)態(tài)的次態(tài)。態(tài)。S1、S2,2個個S3、S4 S7、S10n最低優(yōu)先級:在給定輸入條件下最低優(yōu)先級:在給定輸入條件下具有相同輸出行為的狀態(tài)。具有相同輸出行為的狀態(tài)。 0/0:S0、S1 、S2、 S3 、S4 、S7 1/0:S0、S1 、 S2、 S3、S4 、S7、S10VIII - Working with Sequential Logic Copyright 2004, Gaetano Borriello and Randy H. Katz184 4比特序列檢查器比特序列檢查器n最高優(yōu)先級:最高優(yōu)先級:S3、S4 S7、S10n中等優(yōu)先級:中等優(yōu)先

24、級:S1、S2,2個個S3、S4 S7、S10n最低優(yōu)先級:最低優(yōu)先級:0/0:S0、S1 、S2、 S3 、S4 、S7 1/0:S0、S1 、 S2、 S3、S4 、S7、S10VIII - Working with Sequential Logic Copyright 2004, Gaetano Borriello and Randy H. Katz19指導(dǎo)原則的有效性指導(dǎo)原則的有效性n狀態(tài)分配指導(dǎo)原則試圖在次態(tài)和輸出函數(shù)中生成盡可能大的狀態(tài)分配指導(dǎo)原則試圖在次態(tài)和輸出函數(shù)中生成盡可能大的包含邏輯包含邏輯1 1的相鄰組,使次態(tài)函數(shù)和輸出的邏輯盡可能少的相鄰組,使次態(tài)函數(shù)和輸出的邏輯盡可能

25、少VIII - Working with Sequential Logic Copyright 2004, Gaetano Borriello and Randy H. Katz20有限狀態(tài)機劃分有限狀態(tài)機劃分n在某些情況下,無法用一個單一的可編程邏輯模塊來實現(xiàn)在某些情況下,無法用一個單一的可編程邏輯模塊來實現(xiàn)復(fù)雜的有限狀態(tài)機,這時需要對狀態(tài)機進行劃分復(fù)雜的有限狀態(tài)機,這時需要對狀態(tài)機進行劃分n假設(shè)有限狀態(tài)機有假設(shè)有限狀態(tài)機有2020個輸入和個輸入和1010個輸出,但僅用有個輸出,但僅用有1515個輸個輸入和入和5 5個輸出的可編程邏輯模塊來實現(xiàn)個輸出的可編程邏輯模塊來實現(xiàn)VIII - Wor

26、king with Sequential Logic Copyright 2004, Gaetano Borriello and Randy H. Katz21引入空閑狀態(tài)劃分有限狀態(tài)機引入空閑狀態(tài)劃分有限狀態(tài)機n將該狀態(tài)圖劃分為兩個獨立的狀態(tài)機,分別包含狀態(tài)將該狀態(tài)圖劃分為兩個獨立的狀態(tài)機,分別包含狀態(tài)S1S1、S2S2、S3S3和狀態(tài)和狀態(tài)S4S4、S5S5、S6S6。CiCi表示轉(zhuǎn)換發(fā)生的條件表示轉(zhuǎn)換發(fā)生的條件n需要使用空閑狀態(tài)使狀態(tài)轉(zhuǎn)換發(fā)生在劃分后的兩部分之間需要使用空閑狀態(tài)使狀態(tài)轉(zhuǎn)換發(fā)生在劃分后的兩部分之間當狀態(tài)機由狀態(tài)當狀態(tài)機由狀態(tài)S1S1轉(zhuǎn)換轉(zhuǎn)換到到S6S6時,左圖的子狀態(tài)時,左

27、圖的子狀態(tài)機將控制權(quán)交給了右圖,機將控制權(quán)交給了右圖,左邊的子狀態(tài)機必須停左邊的子狀態(tài)機必須停在空閑狀態(tài),直到重新在空閑狀態(tài),直到重新獲得控制權(quán)獲得控制權(quán)VIII - Working with Sequential Logic Copyright 2004, Gaetano Borriello and Randy H. Katz22引入空閑狀態(tài)劃分有限狀態(tài)機引入空閑狀態(tài)劃分有限狀態(tài)機n劃分后的狀態(tài)圖引入兩個新狀態(tài)劃分后的狀態(tài)圖引入兩個新狀態(tài)SASA和和SBSB,來同步發(fā)生在兩,來同步發(fā)生在兩部分之間的狀態(tài)轉(zhuǎn)換。兩個狀態(tài)機中總有一個處于空閑狀部分之間的狀態(tài)轉(zhuǎn)換。兩個狀態(tài)機中總有一個處于空閑狀態(tài),

28、同時兩個狀態(tài)機需要互相通信,以獲取對方的狀態(tài)態(tài),同時兩個狀態(tài)機需要互相通信,以獲取對方的狀態(tài)VIII - Working with Sequential Logic Copyright 2004, Gaetano Borriello and Randy H. Katz23引入空閑狀態(tài)的劃分規(guī)則引入空閑狀態(tài)的劃分規(guī)則n第一條規(guī)則:用于源狀態(tài),即跨越邊界的轉(zhuǎn)換起始于該狀態(tài)第一條規(guī)則:用于源狀態(tài),即跨越邊界的轉(zhuǎn)換起始于該狀態(tài) 跨越邊界的轉(zhuǎn)換由一個到空閑狀態(tài)的轉(zhuǎn)換替代,并且采跨越邊界的轉(zhuǎn)換由一個到空閑狀態(tài)的轉(zhuǎn)換替代,并且采用和原始狀態(tài)轉(zhuǎn)換相同的退出條件用和原始狀態(tài)轉(zhuǎn)換相同的退出條件n第二條規(guī)則:用于跨

29、越邊界轉(zhuǎn)換的目標狀態(tài)第二條規(guī)則:用于跨越邊界轉(zhuǎn)換的目標狀態(tài) 原有的轉(zhuǎn)換被替換為來自空閑狀態(tài)的轉(zhuǎn)換,并且條件為原有的轉(zhuǎn)換被替換為來自空閑狀態(tài)的轉(zhuǎn)換,并且條件為原有條件和源狀態(tài)的與運算原有條件和源狀態(tài)的與運算VIII - Working with Sequential Logic Copyright 2004, Gaetano Borriello and Randy H. Katz24引入空閑狀態(tài)的劃分規(guī)則引入空閑狀態(tài)的劃分規(guī)則n第三條規(guī)則:應(yīng)用于多個轉(zhuǎn)換發(fā)生在同一個源或目標狀態(tài)時第三條規(guī)則:應(yīng)用于多個轉(zhuǎn)換發(fā)生在同一個源或目標狀態(tài)時 如果某個狀態(tài)是多個跨越邊界的轉(zhuǎn)換的源如果某個狀態(tài)是多個跨越邊界的

30、轉(zhuǎn)換的源如如S2,則所有這,則所有這些轉(zhuǎn)換都合并到一個空閑狀態(tài)的轉(zhuǎn)換。新轉(zhuǎn)換的退出條件是些轉(zhuǎn)換都合并到一個空閑狀態(tài)的轉(zhuǎn)換。新轉(zhuǎn)換的退出條件是將所有原轉(zhuǎn)換條件進行或運算將所有原轉(zhuǎn)換條件進行或運算如如C3+C5 如果某個狀態(tài)是多個跨越邊界狀態(tài)轉(zhuǎn)換的目標狀態(tài)如果某個狀態(tài)是多個跨越邊界狀態(tài)轉(zhuǎn)換的目標狀態(tài)如如S5,可以添加一個從空閑狀態(tài)到該狀態(tài)的轉(zhuǎn)換。新轉(zhuǎn)換的條件是可以添加一個從空閑狀態(tài)到該狀態(tài)的轉(zhuǎn)換。新轉(zhuǎn)換的條件是原有狀態(tài)機中各個獨立轉(zhuǎn)換條件相或原有狀態(tài)機中各個獨立轉(zhuǎn)換條件相或如如C3S2+C4S3VIII - Working with Sequential Logic Copyright 2004, Gaetano Borriello and Randy H. Katz25引入空閑狀態(tài)的劃分規(guī)則引入空閑狀態(tài)的劃分規(guī)則n第四條規(guī)則:空閑狀態(tài)的自環(huán)條件第四條規(guī)則:空閑狀態(tài)的自環(huán)條件 將所有退出條件相或之后再求反將所有退出條件相或之后再求反VIII - Working with Sequential Logic Copyrig

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論