版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)
文檔簡介
1、DC綜合全過程及使用的命令* Set(k$ign coustrnintDesign RuleSet liiax transitionS c tiS et_innx_fftiioi:TDesign Opt* Cousmuntscicarc_c'o<ksitdoti' rtouchnemoik涸 clock imcei帕j】:ty $ct_inptn_tie>y 書 eToiitpnrdeliy Set max area r-=1Stkct design stiAte-top <lowu bottoniup TFOptimize the designCompile
2、An;ilyze 日ini iesolve design rirnhkmsJcheck design rcportarca i ejxHl_coi istra tut v report timing Sm ? thp d辟ig卻屮DC的初始化文件.synopsys.dc.setup該文件是隱藏文件,需要用Is -a顯示讀取文件DC支持多種硬件描述格式,.db .v .vhd等對于dcsh工作模式來說,讀取不同的文件格式需要不同的參數(shù)Read -format Verilogdbvhdl ect file/dcsh 工作模式對于tcl工作模式來說,讀取不同文件格式使用不同的命令。Read_veri
3、log file.v /tcl 工作模式讀取 verilog 格式Read_vhdl file.v /tcl 工作模式讀取 vhdl 格式讀取源程序的另外一種方式是配合使用 analyzer 命令和 elaborate 命令;Analyzer 是分析 HDL 的源程序并將分析產(chǎn)生的中間文件存于 work (用戶可以自己指定)的目錄下Elaborate 則在產(chǎn)生的中間文件中生成 verilog 的模塊或者 VHDL 的實體缺省情況下, elaborate 讀取的是 work 目錄中的文件當讀取完所要綜合的模塊后,需要使用 link 命令將讀到 DC 存儲區(qū)中的模塊或?qū)嶓w 連接 起來注意:如果在使
4、用link命令后,出現(xiàn)unresolved design referenee的警告信息,需要重新讀取該模塊,或者在.synopsys_dc.setup 文件中添加link_library,告訴DC到庫中去找這些模塊,同時還要注意search_path中的路徑是否指向該模塊或單元電路所在的目錄Link 命令執(zhí)行后, DC 就將模塊按照 RTL 級或者門級的描述將電路連接起來,之后的各種限制條件就可以對該電路的頂層模塊施加DC 限制條件施加的對象針對以下電路成分:輸入輸出端口、模塊、子模塊的實例化、單元電路、連線及電路的引腳。在使用DC 命令的時候,不要使用模糊的對象,例如,如下面的電路:Set_
5、load 3 Clk由于在電路中含有名稱為 CLK的pin、port和net,因此,DC無法知道該處的load是施加在哪個對象上,注意到一般連線會驅(qū)動負載,該命令就改為:Set_load 3 get_nets ClkTCL modeSet_load 3 find(net, ”Clk ”) dc_shell mode路徑的劃分與合法路徑DC 綜合的時候是基于路徑的優(yōu)化,對于 DC 來說,合法的路徑必須滿足以下條件路徑的起點為輸入端口或者是觸發(fā)器的數(shù)據(jù)端路徑終點為輸出端口或者是觸發(fā)器的時鐘端DC 設(shè)定的限制條件的值都是無單位的,這些單位都是由工藝庫中給定的可以用 report_lib lib_na
6、me 來查看所有在工藝庫中設(shè)定的各個參數(shù)的單位定義電路的工作環(huán)境和綜合環(huán)境1.Set_operating_conditions不同的工作環(huán)境對應的單元電路的延遲不相同溫度升高、電壓降低、電路的特征線寬增大都會使得單元電路的延遲增大 電路的工作環(huán)境一般在工藝庫中都有給定命令格式:set_operati ng_con diti ons -min min_c on diti on max max_co nditi on -min_library minib -nax_library max_lib命令使用說明指定 DC 進行優(yōu)化時使用的工作環(huán)境, 其中工作環(huán)境的指定必須在庫中指定, 或者在 link
7、_library 中的其中一 個庫中指定,如果不指定operating_conditions,DC 自動搜索 link_library 中的第一個庫的工作環(huán)境作為優(yōu)化時使用的工作環(huán)境可以用 get_attributes 語句取得庫中缺省的工作環(huán)境-max選項指定電路最大延遲分析(分析電路是否滿足觸發(fā)器建立時間set up的要求)的工作環(huán)境-min選項用于指定電路最小延遲分析(分析電路是否滿足觸發(fā)器保持時間hold time的要求)的工作環(huán)境,該選項不能單獨使用,必須與-max同時使用如果不指定用于分析最小延遲的庫,則只使用-max中指定的庫用于電路的延遲分析-min_library 選項指定用
8、于電路最大延遲分析的工藝庫-max_library 選項指定用于電路最小延遲分析的工藝庫2. set_min_libraryVendor 可能提供多個不同的工藝庫,用于分析電路的時序,不同工藝庫定義了不同的工作環(huán)境、不同的線負載模型、不同的單元延遲時間,這是可以將這些苦都指定用于分析電路的延遲命令格式:set_min_library max_library -min_version min_library | -none命令的使用說明:該命令指定 max_library 用于分析電路的最大延遲, min_library 用于分析電路的最小延遲。當 DC 需要計算路徑的最小延遲時,首先在 max
9、_library 中找到單元電路,如果在 min_library 中有和該單元電路有相同名稱、相同的引腳名、相同的時序弧時,則使用 min_library 中給出的時序信息用于計算電路中路徑的延遲使用-none選項可以取消設(shè)定min_library不要在 target library 中設(shè)置 min_library 對應的庫該命令只有當vendor提供兩個庫時才使用set_wire_load_model在 DC 計算路徑的延遲時,工具會估計連線的延遲,該命令是設(shè)置一個連線延遲估計的模型。但是在綜合完成后,用report_timing -nets 般看到的連線延遲都是 0,這是因為在做綜合之前,
10、工具使用的線負載模型是比較粗糙的,所以連線延遲比較小。另外,不僅互連線本身有延遲,互連線的寄生電容、寄生電阻會影響到單元電路的延遲注意:在深亞微米、超級亞微米階段互連線的延遲在整個路徑的延遲中占一半左右。因此,現(xiàn)在一般在綜合之前會做一次floorplan,然后提取出線負載模型來供綜合工具使用,以便更加準確的估計連線延遲。Synopsys的另外一個工具physical compiler就可以將 floorplan 跟綜合放在一起做命令的格式為:set_wire_load_model -name model_name-lib_name library -max -min設(shè)置綜合時使用的線負載模型,
11、用于估計電路的連線延遲。由于布線之前沒有準確的電路走線。因此,即使設(shè)置該模型,也不能準確估計電路的互連線延遲。如果沒有wire_load_model,可以將auto_wire_load_selection參數(shù)設(shè)置為true,則DC自動根據(jù)綜合之后的面積來選擇一個統(tǒng)計的線負載模型用于估計連線延遲Set auto_wire_load_selection true-max -min選項同上,分別指定該模型用于估計最大路徑延遲和最小路徑延遲。-lib_name指定包含該線負載模型的工藝庫一個線負載模型的例子:1:Name:320KGATES模塊名稱,用于引用該模塊2:Location:ssc_core
12、_slow該模塊庫的位置3:Resistance: 0.000271單位長度的連線電阻4:Capacitance: 0.00017單位長度的連線電容5:Area:0連線所占面積6:Slope:55.6653外推計算連線長度的斜率7:Fanout Length Points Average Cap Std Deviation8:9:1 34.79按照連線的扇出估計連線長度,從而估計連線延遲10:2 90.4611:3 146.1212:4 201.7913:5 257.45set_wire_load_mode如果只是在子模塊綜合中設(shè)置了線負載模型,那么跨模塊邊界的連線延遲如何估計,該命令就是用于
13、設(shè)置模塊互連線延遲估計所使用的模塊該模式有三種選擇:Top指定模塊互連線延遲的估計使用頂層模塊的線負載模型Enclosed指定模塊互連線延遲的估計使用包含該連線的最小模塊的線負載模型Segmented 將連線按模型邊界分段,各段的延遲分別按照各自模塊的線負載模型估計延遲,然后把估計結(jié)果相加得到該連線的延遲命令的格式為:set_wire_load_mode mode其中 mode 為 top、enclosed、 segmented3. set_drive為了更加準確的估計輸入電路的延遲,DC需要知道信號到達輸入端口的過渡時間(transition time )。Set_drive使用確定的值來估
14、計輸入端的輸入電阻,從而得到輸入端口的延遲;而 set_driving_cell 是假定一個實際的外部驅(qū)動單元來估計輸入的 transition time該命令用于設(shè)置輸入端口或者雙向端口上的電阻值,該電阻值是用于驅(qū)動輸入端口的單元的輸入電阻,因此,該值越大,就說明輸入端口的驅(qū)動能力越弱,連線的延遲也就越大。更常用的是 set_driving_cell 命令,以庫中某個單元電路的引腳驅(qū)動能力為基準來模擬輸入端口的驅(qū)動能力。命令的格式:set_drive resistance_value -max -min -ise -all命令使用要點缺省情況下,該值為 0,即輸入具有無限大的驅(qū)動能力電路的優(yōu)
15、化階段,輸入端口的驅(qū)動能力用于計算輸入端口到門電路延遲,一般來說,輸入端口的驅(qū)動能力可以按照以下公式影響延遲Time = arrive_time + drive*net_load + connect_delay4. set_driving_cell該命令實現(xiàn)的功能和 set_drive 命令基本相同, set_driving_cell 是指定使用庫中某一個單元來驅(qū)動輸入端口。 該命令是在輸入端口之前假想一個驅(qū)動單元,然后按照該單元的輸出電阻來計算transition time ,從而計算輸入端口到門單元電路的延遲,如:set_driving_cell -lib_cell buf1a3 -pin Y Reset用 set_driving_cell 命令后,會出現(xiàn)很多警告,因為加上 driving_cell 約束之后,相當于人為的給很多引腳加上設(shè)計規(guī)則的限 制條件5. set_load 由于外部電路的負載將會影響到接到端口上單元電路的延遲,因此需要對端口的帶負載能力做限
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
- 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 二零二五年度夫妻協(xié)議離婚范本:離婚后雙方財產(chǎn)分割與子女贍養(yǎng)合同
- 2025個人蝦池承包土地使用及租賃合同樣本4篇
- 2025年度礦產(chǎn)資源開采土地承包合同解除與環(huán)保協(xié)議
- 二零二五版養(yǎng)老產(chǎn)業(yè)派遣護理人員服務合同規(guī)范4篇
- 2025年度美甲美睫店員工正式聘用合同范本(員工福利待遇升級)
- 二零二五年度平面模特形象使用權(quán)授權(quán)合同范本
- 二零二五年度坡屋面小青瓦施工節(jié)能減排技術(shù)合同4篇
- 二零二五年度無人機銷售安裝及培訓合同范本3篇
- 二零二五年度新能源電站運維人員派遣合同范本
- 課題申報參考:明清近代詩文與江南文脈研究
- 高考滿分作文常見結(jié)構(gòu)完全解讀
- 專題2-2十三種高考補充函數(shù)歸類(講練)
- 理光投影機pj k360功能介紹
- 六年級數(shù)學上冊100道口算題(全冊完整版)
- 八年級數(shù)學下冊《第十九章 一次函數(shù)》單元檢測卷帶答案-人教版
- 帕薩特B5維修手冊及帕薩特B5全車電路圖
- 系統(tǒng)解剖學考試重點筆記
- 小學五年級解方程應用題6
- 云南省地圖含市縣地圖矢量分層地圖行政區(qū)劃市縣概況ppt模板
- 年月江西省南昌市某綜合樓工程造價指標及
- 作物栽培學課件棉花
評論
0/150
提交評論