版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)
文檔簡介
1、摘 要 fpga 是一類稱為現(xiàn)場可編程邏輯器件中的一員, 它被認(rèn)為是一種專用集成電路技術(shù). 現(xiàn)今的通信系統(tǒng)大量采用fpga 作為系統(tǒng)的核心控制器件, 將物理層上的各協(xié)議層的功能集中在fpga內(nèi)部實(shí)現(xiàn), 不僅提高了通信系統(tǒng)的集成, 同時也減少了硬件和軟件設(shè)計(jì)的復(fù)雜度. 文章分析研究了傳統(tǒng)誤碼儀的工作原理與結(jié)構(gòu),提出了一種基于fpga 的誤碼檢測方案,提出采用fpga來完成誤碼儀的控制和測試模塊一體化設(shè)計(jì)。該方案不僅提出了鎖相環(huán)法提取同步信號方法,還納入了“同步保護(hù)” 的思想。本誤碼儀主要分為三個部分:發(fā)送部分,接受部分,sopc的cpu部分。發(fā)送部分包括:m序列偽隨機(jī)信號發(fā)生器模塊、手動插入誤碼
2、模塊、hdb3編碼模塊;接收部分包括:位同步模塊、hdb3解碼模塊、序列同步模塊及誤碼檢測;sopc的cpu部分主要涉及,灌碼的控制,誤碼率的計(jì)算和lcd顯示。 由于基于fpga進(jìn)行設(shè)計(jì),該誤碼儀具有再升級和可移植能力強(qiáng)、體積小巧,等優(yōu)點(diǎn),具有較高的實(shí)用價值。關(guān)鍵字: fpga,誤碼測試儀,m序列,灌碼abstract fpga is one of the f ield prog ram mable log ic dev ices and an asic technique. as a key cont rol device in the communicat ion system, fpga
3、 can no t only improv e the sy stems integ rat ion, but also reduce the complexity of the hardw are and sof tware.paper researched the w6rk theory and structure of traditional bert,the paper put forward a project of mistake code examination based on fpga,made use of fpga to complete the instrument e
4、rror of the control module integration and testing of the design. that project not only put forward a method of withdrawing a synchronous signal by phase lock loop, but also bring into the thought ofsynchronousprotection.the bert can be divided into three parts:sending part, accept the part, the cpu
5、 of sopc part. sending part includes: m pseudo random signal sequence generator module, manually inserted miscode module,hdb3 coding;receiving part includes: a bit synchronous module, hdb3 decoding module, sequence synchronous and error detection sequence module. the sopc cpu part mainly involves th
6、e loading of control,ber calculation and lcd display. due to design based on fpga, the instrument has a further escalation of error and be able to transplantpower,small size advantages of high practical value.keyword: fpga,bert,m pseudo random sequence,loading code目 錄第一章 緒論11.1 研究背景及意義11.2 國內(nèi)外研究現(xiàn)狀21
7、.3 系統(tǒng)仿真所用的技術(shù):41.3.1 fpgacpld技術(shù)及應(yīng)用41.3.2 關(guān)于fpgacpld的優(yōu)勢4第二章 誤碼測試技術(shù)52.1 誤碼的基本概念52.1.1 誤碼簡述52.1.2 誤碼性能參數(shù)52.2 誤碼檢測原理7第三章 系統(tǒng)總體設(shè)計(jì)93.1 總體方案設(shè)計(jì)93.1.1系統(tǒng)需求分析93.1.2系統(tǒng)總體方案設(shè)計(jì)10第四章 各個模設(shè)計(jì)114.1 時鐘模塊設(shè)計(jì)104.2 m序列偽隨機(jī)信號發(fā)生器模塊設(shè)計(jì)144.2.1 m序列的介紹和產(chǎn)生原理144.2.2 m序列發(fā)生器設(shè)計(jì)154.3 手動插入誤碼模塊設(shè)計(jì)164.4 位同步模塊設(shè)計(jì)184.5 hdb3編解碼器設(shè)計(jì)194.5.1 hdb3編解碼原理
8、194.5.2 hdb3碼編碼204.5.3 hdb3碼解碼234.6 序列同步模塊及誤碼檢測設(shè)計(jì)264.7 誤碼計(jì)算和顯示模塊284.8 小結(jié)28第五章 軟硬件開發(fā)環(huán)境介紹305.1 fpga硬件開發(fā)板介紹305.2 fpga軟件開發(fā)環(huán)境quarus、sopc、nios介紹31第六章 全文工作總結(jié)及展望336.1 全文工作總結(jié)336.2 展望34致謝35參考文獻(xiàn)36第一章 緒論11 研究背景及意義在信息高速發(fā)展的今天,通信無疑己經(jīng)成為人們生活中不可缺少的部分。尤其是數(shù)字通信,它以抗干擾能力強(qiáng),高質(zhì)量的遠(yuǎn)距離傳輸,以及便于與計(jì)算機(jī)連接,易于加密等優(yōu)點(diǎn)己經(jīng)成為現(xiàn)代信息傳輸?shù)闹匾侄巍H藗円呀?jīng)感受
9、到通信網(wǎng)絡(luò)帶來的種種便利,除了我們所熟悉的互聯(lián)網(wǎng),電話網(wǎng)絡(luò)這樣的主干通信網(wǎng)之外,越來越多的專用網(wǎng)絡(luò)也應(yīng)用到了各行各業(yè)。例如,氣象檢測站的數(shù)據(jù)傳輸網(wǎng),水文監(jiān)測點(diǎn)的數(shù)據(jù)傳輸網(wǎng)等,這些專用網(wǎng)絡(luò)都具有低速,長距離,通信數(shù)據(jù)結(jié)構(gòu)較為簡單等特點(diǎn). 在通信系統(tǒng)中,無論是設(shè)備故障、傳播衰落、碼間干擾、鄰近波道干擾等原因,都使得在數(shù)字通信中的接收端不可避免出現(xiàn)誤碼,都可能造成系統(tǒng)性能惡化甚至造成通信中斷,其結(jié)果都可通過誤碼的形式表現(xiàn)出來,如果有誤碼就有誤碼率,誤碼率(ber:bit error rate)是反映數(shù)據(jù)傳輸設(shè)備及其信道工作性質(zhì)的一個重要指標(biāo)。所謂誤碼率是指在傳輸過程中發(fā)生誤碼的概率,在實(shí)際工程中計(jì)算
10、是取一段足夠長的傳輸時間,求這段時間內(nèi)發(fā)生的誤碼的碼元個數(shù)與傳輸?shù)目偞a元數(shù)之比。由于比特(bit)是碼元的最小單位,故當(dāng)碼流的每個碼元是lbit時,誤碼率就是誤比特率(簡稱ber);當(dāng)傳輸碼字(碼符)時,稱為誤字(符)率;當(dāng)傳輸碼組時,稱為誤碼組率。bern是其基本測量參考單位。誤碼率是檢驗(yàn)通信設(shè)備傳輸質(zhì)量的重要指標(biāo),誤碼的檢測在通信中必不可少。因此,產(chǎn)生了各種各樣的誤碼檢測器h瑚。誤碼儀被廣泛應(yīng)用于數(shù)字傳輸系統(tǒng)的工程旌工、工程驗(yàn)收、日常維護(hù)及科研測試。主要有交機(jī)、視頻會議系統(tǒng)等通信產(chǎn)品的生產(chǎn)商和電信、聯(lián)通、移動、網(wǎng)通、鐵通、郵電、電力等營運(yùn)商運(yùn)維部門,是新建網(wǎng)絡(luò)檢測評估以及網(wǎng)絡(luò)故障分析的必備
11、工具。如何快速準(zhǔn)確的檢測到通信系統(tǒng)的誤碼率成為數(shù)據(jù)通信系統(tǒng)中不可缺少的重要工作。網(wǎng)絡(luò)維護(hù)人員經(jīng)常會遇到通信線路連接不通,通信數(shù)據(jù)接收不到,通信線路誤碼率高,通信網(wǎng)絡(luò)規(guī)程不匹配,通信網(wǎng)絡(luò)設(shè)備連接不上等問題,這時一臺操作簡單方便、體積輕巧、接口豐富、人機(jī)交互界面簡潔、成本低廉的手持式通信線路質(zhì)量分析儀器誤碼儀成了網(wǎng)絡(luò)維護(hù)人員不可缺少的設(shè)備,誤碼分析儀給工程實(shí)際應(yīng)用帶來了極大的便利。隨著通信測試技術(shù)的發(fā)展,通信測試儀器表現(xiàn)出一些共同的發(fā)展趨勢和特點(diǎn)。首先,測試設(shè)備的軟件化,智能化程度正在提高。其次,由于通信技術(shù)的發(fā)展迅速,同時通信測試儀器相對比較昂貴,考慮到投資費(fèi)用問題,就要求儀器開發(fā)商必須考慮測試
12、設(shè)備的測試功能,針對相應(yīng)領(lǐng)域及成本問題。另外,小型便攜化的設(shè)計(jì)思想是網(wǎng)絡(luò)測試設(shè)備發(fā)展的又一個趨勢和特點(diǎn)。由于網(wǎng)絡(luò)測試的移動性,需要在同一個網(wǎng)絡(luò)的不同測試點(diǎn)進(jìn)行測試,或者測試物理距離跨度較大的網(wǎng)絡(luò),這都要求網(wǎng)絡(luò)測試儀器朝著小型化、便攜式的方向發(fā)展。便攜式通信測試儀器以現(xiàn)場施工和運(yùn)行維護(hù)使用為主要目的,它不強(qiáng)調(diào)追求測試功能的完備性,而重點(diǎn)考慮的是實(shí)用性和方便性?;趂pga的智能誤碼測試儀就是基于實(shí)用、方便、經(jīng)濟(jì)方面的要求來進(jìn)行設(shè)計(jì)開發(fā)的?;趂pga的誤碼測試儀相對于傳統(tǒng)的誤碼測試儀的優(yōu)點(diǎn)在于其fpga的內(nèi)部邏輯功能是通過向內(nèi)部靜態(tài)存儲器單元加載配置數(shù)據(jù)來實(shí)現(xiàn)的。它采用現(xiàn)場可編程門陣列(fpga
13、)作為核心器件,再升級和可移植能力強(qiáng)。其配置文件決定了邏輯單元的邏輯功能以及模塊間或與io間的連接,并最終決定了fpga所實(shí)現(xiàn)的功能。fpga的這種結(jié)構(gòu)允許多次編程,并享有快速有效地對新設(shè)計(jì)進(jìn)行優(yōu)化的靈活性,故現(xiàn)今的通信系統(tǒng)大量采用fpga作為系統(tǒng)的核心控制器件。此外,fpga還具有靜態(tài)可重復(fù)編程和動態(tài)系統(tǒng)重構(gòu)的特性,使得硬件的功能可以像軟件一樣通過編程來修改。這樣就極大的提高了電子系統(tǒng)設(shè)計(jì)的靈活性和通用性?,F(xiàn)今的數(shù)字通信系統(tǒng)大量采用fpga作為系統(tǒng)的核心控制器件。將物理層上的各協(xié)議層的功能集中:至ufpga內(nèi)部實(shí)現(xiàn),提高了系統(tǒng)的集成度,同時也減少了硬件和軟件設(shè)計(jì)的復(fù)雜度。因此利用fpga作為
14、設(shè)計(jì)誤碼儀的主要芯片成為最適合的設(shè)計(jì)案。12 國內(nèi)外研究現(xiàn)狀誤碼分析儀作為數(shù)字通信系統(tǒng)驗(yàn)收、維護(hù)和故障查詢的理想工具,廣泛應(yīng)用于同軸電纜、光纖、衛(wèi)星及局間中繼等符合cept(confederation of european postsand telecommunications)數(shù)字系列通信系統(tǒng)傳輸質(zhì)量的監(jiān)測h1。目前誤碼分析儀的工作模式已發(fā)展到如下4種:1、分析儀模式。分析儀模式主要應(yīng)用于在線檢測。2、發(fā)生器模式。發(fā)生器模式可以產(chǎn)生各種幀信號,也可在n個(n=l-31)時隙中發(fā)送prbs(psedorandom binary sequence)測試碼或用戶編程字。3、分析儀發(fā)生器模式。分析
15、儀發(fā)生器模式相當(dāng)于碼發(fā)生器與誤碼分析儀的結(jié)合,用于中斷業(yè)務(wù)遠(yuǎn)端環(huán)回的測試。本課題研究的誤碼儀屬于此類。4、直通模式。直通模式用于插入式的測試,可在n個n=(1-31)時隙中插入測試碼型,并對被測時隙的告警和誤碼進(jìn)行分析,而其余時隙仍在正常通信。從誤碼儀接入方式或測試方式看,通常采用兩種方式:一種是斷線測試:另一種是在線監(jiān)測。所謂斷線測試,是指在中斷業(yè)務(wù)傳輸?shù)那闆r下,由誤碼儀對系統(tǒng)進(jìn)行實(shí)時誤碼測試。這種斷線測試方式的實(shí)質(zhì)是對系統(tǒng)運(yùn)行質(zhì)量進(jìn)行時域取樣檢測。根據(jù)斷線測試期間(即取樣檢測時間內(nèi))測得的數(shù)據(jù),來推斷或估計(jì)系統(tǒng)傳輸業(yè)務(wù)時的運(yùn)行質(zhì)量。當(dāng)系統(tǒng)運(yùn)行穩(wěn)定、系統(tǒng)誤碼平穩(wěn)隨機(jī)且前后獨(dú)立等概率的情況下,
16、只要測試時間或統(tǒng)計(jì)時間足夠長,斷線測試獲得的數(shù)據(jù)是能夠以較高的精度和較大的可信度反應(yīng)系統(tǒng)的運(yùn)行質(zhì)量的。但是,在許多情況下,系統(tǒng)的誤碼并不是平穩(wěn)隨機(jī)的,而會出現(xiàn)緩慢的隨機(jī)波動。這樣,不同的測試時間和不同的統(tǒng)計(jì)周期,獲得的測試數(shù)據(jù)可能會有一定的差別。此時,斷線測試獲得的數(shù)據(jù)就不能很好地反映系統(tǒng)傳輸業(yè)務(wù)時的運(yùn)行質(zhì)量。這是斷線測試的局限性,要實(shí)時了解系統(tǒng)長期運(yùn)行期間的質(zhì)量情況,需要對系統(tǒng)進(jìn)行在線誤碼監(jiān)測。所謂在線監(jiān)測,是指在不中斷業(yè)務(wù)運(yùn)行的情況下,利用系統(tǒng)總碼流中的固定樣碼或冗余碼對系統(tǒng)誤碼進(jìn)行實(shí)時在線監(jiān)測。與斷線測試相比,在線監(jiān)測具有如下優(yōu)點(diǎn):1、由于在線監(jiān)測與系統(tǒng)加載運(yùn)行同時進(jìn)行的,統(tǒng)計(jì)時間不受限
17、制,因而測試結(jié)果具有較高的精度和較好的可信度。2、在線監(jiān)測不需要中斷業(yè)務(wù)傳輸,就可以維護(hù)任務(wù)時了解到系統(tǒng)運(yùn)行的質(zhì)量水平及其變化情況,從而大大提高了系統(tǒng)維護(hù)的透明度。3、在線監(jiān)測不需要中斷業(yè)務(wù)傳輸,因此不會影響系統(tǒng)的服務(wù)時間,從而會給系統(tǒng)的運(yùn)營者帶來明顯的經(jīng)濟(jì)效益。4、在線監(jiān)測能夠統(tǒng)計(jì)和記錄系統(tǒng)長期加載運(yùn)行時的誤碼情況,從而可以積累和提供系統(tǒng)運(yùn)行的第一手資料,以便對系統(tǒng)的研究和設(shè)計(jì)改進(jìn)提供可靠的資料依據(jù)。目前傳統(tǒng)的誤碼測試儀主要是國外產(chǎn)品,以安捷倫agilent e7580a 2m誤碼測試儀來說,目前市場價格在20000元/臺左右,操作復(fù)雜,價格昂貴。還有agilent公司的n4906b串行誤碼
18、率測試儀等。國內(nèi)典型設(shè)備有信息產(chǎn)業(yè)部電子第四十一研究所的av系列誤碼測試儀,如av5235,av5231,av5232等??偟膩碚f,國外的產(chǎn)品功能比較完善,但其價格也相對較高;而國內(nèi)廠家的產(chǎn)品信號處理速度相對較低。下表是國內(nèi)外一些設(shè)備的性能特點(diǎn)。表1.1誤碼儀性能特點(diǎn)型號特性公司prober2識別2mbs信號的頻率,電平,脈沖波形,抖動等美國安捷倫公司agilentn4906b95 gbs到125 gbs的碼型發(fā)生器和誤差檢測器快速位模式同步預(yù)定義標(biāo)準(zhǔn)兼容模式(sonetsdh以太網(wǎng)pc總線)直觀用戶界面、windows xp操作系統(tǒng)、觸摸屏體積小巧可節(jié)省-t作臺和機(jī)架空間lan、usb 20
19、、gpib端口sunlite e12048mbps發(fā)送和接收及外部時鐘el比特差錯率測試電平和頻率測試取出和插入功能(n或m64)vf監(jiān)測和分析可編程發(fā)送幀字g821、g826和m2100分析告警產(chǎn)生誤碼插入傳輸時延測暈sunri srtelcom(美國勝利電訊公司)av5233cl3次群,nrzrzamihdb3可在線測試、漢顯、打印依愛電子(安徽蚌埠41所)av5235可在線測試、告警、時隙顯示,nx64kbs信道測試av5232e適用16326412825651210242048kbs數(shù)據(jù)測試可在線測試,漢顯,機(jī)內(nèi)帶打印13 系統(tǒng)仿真所應(yīng)用的技術(shù)131 fpgacpld技術(shù)及應(yīng)用以上所設(shè)
20、計(jì)的誤碼檢測系統(tǒng)是利用fpgacpld技術(shù)來建模實(shí)現(xiàn)的。究竟什么是fpgacpld,為什么要用這種技術(shù),它具有什么優(yōu)點(diǎn),下面將對fpgacpld技術(shù)進(jìn)行簡要的概述。fpga(現(xiàn)場可編程門陣列)與cpld(復(fù)雜可編程邏輯器件)都是可編程邏輯器件,它們是在pal、gal等邏輯器件的基礎(chǔ)之上發(fā)展起來的。同以往的pal、gal等相比較,fpgacpld的規(guī)模比較大,適合于對時序、組合等邏輯電路應(yīng)用場合,它可以替代幾十甚至上百塊通用ic芯片。這樣的fpgacpld實(shí)際上就是一個子系統(tǒng)部件。這種芯片具有可編程性和實(shí)現(xiàn)方案容易改動的特點(diǎn)。由于芯片內(nèi)部硬件連接關(guān)系的描述可以存放在磁盤、rom、prom或epr
21、om中,因而在可編程門陣列芯片及外圍電路保持不動的情況下,換一塊eprom芯片,就能實(shí)現(xiàn)一種新的功能。fpga芯片及其開發(fā)系統(tǒng)問世不久,就受到世界范圍內(nèi)電子工程設(shè)計(jì)人員的廣泛和普遍歡迎。132 關(guān)于fpgacpld的優(yōu)勢高速和高可靠是fpgacpld最明顯的特點(diǎn),其時鐘延遲可達(dá)納秒級,結(jié)合其并行工作方式,在超高速應(yīng)用領(lǐng)域和實(shí)時測控方面有非常廣闊的應(yīng)用前景。在高可靠應(yīng)用領(lǐng)域,如果設(shè)計(jì)得當(dāng),將不會存在類似于cpu的復(fù)位不可靠和pc可能跑飛等問題。cpld和fpga的高可靠性還表現(xiàn)在,幾乎可將整個系統(tǒng)下載于同一芯片中,實(shí)現(xiàn)所謂片上系統(tǒng),從而大大縮小了體積,易于管理和屏蔽。與asic設(shè)計(jì)相比,fpga
22、cpld顯著的優(yōu)勢是開發(fā)周期短,投資風(fēng)險小、產(chǎn)品上市速度快,市場適應(yīng)能力強(qiáng)和硬件升級回旋余地大,而且當(dāng)產(chǎn)品定型和產(chǎn)量擴(kuò)大后,可將在生產(chǎn)中達(dá)到充分檢驗(yàn)的vhdl設(shè)計(jì)迅速實(shí)現(xiàn)asic投產(chǎn)。本系統(tǒng)所用的程序編輯軟件以及所用的集成芯片都是altera公司的產(chǎn)品。第2章 誤碼測試技術(shù)在這一章里將對誤碼測試原理進(jìn)行詳細(xì)介紹,首先介紹了誤碼的基本概念、誤碼性能參數(shù)和誤碼產(chǎn)生的原因;其次,對誤碼測試原理進(jìn)行了詳述:21 誤碼的基本概念211 誤碼簡述隨著數(shù)字通信突飛猛進(jìn)的發(fā)展,與此同時,其傳輸?shù)目煽啃詣t顯得尤為重要。誤碼特性是數(shù)字通信系統(tǒng)的重要特性之一。對二進(jìn)制數(shù)字信號來說,誤碼的基本概念是:在傳輸系統(tǒng)的發(fā)送
23、端發(fā)送“1”碼時,在接收端收到的卻是“0,而當(dāng)發(fā)送端發(fā)送“0”碼時,接收端收到的卻是“1碼。這種收發(fā)信碼的不一致就稱為誤碼。誤碼影響數(shù)字傳輸系統(tǒng)的傳輸質(zhì)量,使音頻信號發(fā)生失真,使數(shù)據(jù)信號丟失信息,產(chǎn)生不準(zhǔn)確信息或減少傳輸量等。因此,誤碼一直受到業(yè)內(nèi)的普遍重視,許多科技人員對其進(jìn)行了長期的研究與實(shí)驗(yàn)。誤碼是影響數(shù)字通信系統(tǒng)最重要的因素,因?yàn)檎`碼直接破壞了信息傳遞的正確性。誤碼對數(shù)字網(wǎng)中的任何信息都會產(chǎn)生影響。目前,普通電信業(yè)務(wù)中占90甚至95以上是電話業(yè)務(wù),誤碼對電話業(yè)務(wù)的損害最終反映為話音中出現(xiàn)噪聲,影響了通話質(zhì)量;在語音通信中,隨機(jī)誤碼的效果不過是聽筒中的嗒嗒聲,對通信質(zhì)量的影響不大。而數(shù)字
24、通信中信息本身幾乎沒有冗余度,誤碼的影響很明顯,通常需要用糾檢錯編碼和重發(fā)等辦法加以解決。212 誤碼性能參數(shù)在實(shí)際系統(tǒng)工作時,由于無線電和衛(wèi)星系統(tǒng)的傳播效應(yīng)、電磁干擾和隨機(jī)傳輸模式的影響,系統(tǒng)性能可能會隨時間發(fā)生變化。為了滿足測量要求,在實(shí)際中通常采用誤碼性能參數(shù)來表示系統(tǒng)的誤碼性能,采用超過每個閾值的時間百分?jǐn)?shù)來表征。通過測量可判斷出該系統(tǒng)的優(yōu)劣,并且可以根據(jù)誤碼性能指標(biāo)判別出傳輸系統(tǒng)問題出現(xiàn)在哪個段落。具體來說有下列指標(biāo):誤碼個數(shù)記錄:以發(fā)送端的二進(jìn)制數(shù)和接收端的二進(jìn)制數(shù)逐比特比較凡屬相異的即為誤碼,可在短時間內(nèi)判斷出該系統(tǒng)的優(yōu)劣。劣化分(dm):每次測量時間to=1分鐘,門限平均誤碼率
25、pe=10-6,當(dāng)分鐘平均誤碼率低于這一門限值時稱為劣化分鐘,見式(21): (21)嚴(yán)重誤碼秒(ses):每次測量時間to=1秒,門限平均誤碼率pe=10-3,當(dāng)秒平均誤碼率低于這一門限值時稱為嚴(yán)重誤碼秒,見式(22): (22)誤碼秒(es):每次測量時間to=1秒,門限平均誤碼率pe=0,量周期內(nèi)只要出現(xiàn)誤碼就稱為誤碼秒,見式(2-3): (23)無誤碼秒(efs):每次測量時間瓦=1秒,門限平均誤碼率pe=0,時間內(nèi)未出現(xiàn)一個誤碼,則稱該秒為無誤碼秒,見式(2-4): (24)平均誤碼率:是在一段相當(dāng)長的時間間隔內(nèi),傳輸系統(tǒng)出現(xiàn)誤碼的概率,見式(25): (25)在數(shù)字通道中,誤碼率反
26、映的是所產(chǎn)生的誤碼的多少,是針對一定時間的統(tǒng)計(jì)數(shù)值。因此在測量中要求m足夠大時才比較準(zhǔn)確。在實(shí)際系統(tǒng)工作時,如果一個系統(tǒng)在足夠長的時間內(nèi)都具有比要求還低的誤碼率,則可認(rèn)為該系統(tǒng)能長期正常工作:如果系統(tǒng)在數(shù)秒周期以內(nèi)具有高的誤碼率,則認(rèn)為此系統(tǒng)是不穩(wěn)定的而“不可利用”。g82l判據(jù)定義了可利用時間,并指出了誤碼性能指標(biāo)的計(jì)算過程:根據(jù)總測量時間z,誤碼測試儀減去不可利用時間周期,即誤碼率比10-3差的10秒或更長的周期,可以得到可利用時間(savail秒)。在余下的可利用時間內(nèi),累計(jì)有誤碼的秒作為誤碼秒(es)被記錄,同時將誤碼率比10-3更差的任何秒作為嚴(yán)重誤碼秒(ses)被累計(jì),余下的無嚴(yán)重
27、誤碼秒周期一起被放入60秒的信息編組中,而平均誤碼率比10-6差的任何秒都?xì)w類為劣化分(dm),計(jì)算流程如圖2.1所示:圖2.1 誤碼率計(jì)算流程圖22 誤碼檢測原理誤碼測試儀是一種常用的通信儀器,廣泛用于電子電路、通信和教學(xué)實(shí)驗(yàn)等領(lǐng)域。其基本原理是通過對經(jīng)過被測系統(tǒng)的序列和原序列進(jìn)行逐位比較,從而得到誤碼數(shù)。基本原理如圖2.2。圖2.2 誤碼檢測基本原理這里的被測系統(tǒng)是指廣義的信道,它不僅包括傳輸媒質(zhì)、還有調(diào)制解調(diào)器、交換設(shè)備等,因而檢測誤碼可以了解整個數(shù)據(jù)傳輸系統(tǒng)的傳輸性能。誤碼的產(chǎn)生不僅僅只是線路上的碼間串?dāng)_和噪聲干擾引起,系統(tǒng)的接收、發(fā)送設(shè)備以及其它部分都可能引起誤碼。從圖2.2知,誤碼
28、測試系統(tǒng)主要由發(fā)送(測試碼產(chǎn)生器)和接收(誤碼檢測)兩部分組成。發(fā)送部分完成系統(tǒng)需求的各種偽隨機(jī)碼產(chǎn)生、發(fā)送功能,誤碼插入功能。該模塊根據(jù)操作人員設(shè)定的碼元類型以及發(fā)送速率發(fā)送供系統(tǒng)測試用的比特流。要求能發(fā)出標(biāo)準(zhǔn)的數(shù)據(jù)信號作為測試信號,代替實(shí)際線路中的傳輸信號送到被測系統(tǒng)中。接收部分要求能產(chǎn)生與發(fā)送部分完全相同的數(shù)據(jù)信號,用以和接收到的信號逐位比較,比對過程如圖比對過程如圖2.3所示: 圖2.3 數(shù)據(jù)比對原理圖從圖2.3可以看到,從信道傳遞到接收機(jī)的序列通過異或門與接收機(jī)產(chǎn)生的序列進(jìn)行對比,若相同,則輸出為o,若不同,則輸出為“1并計(jì)為1個誤碼,從而得到準(zhǔn)確的誤碼數(shù)或誤碼率。總體來說,誤碼儀檢
29、測的工作過程可概括為以下幾個過程和步驟:(1)以某種方式產(chǎn)生和發(fā)送碼組相同的碼型,以相同相位的本地碼組作為比較標(biāo)準(zhǔn);(2)將本地碼組與接收碼組逐個進(jìn)行比較,并輸出誤碼脈沖信號;(3)對誤碼脈沖信號進(jìn)行統(tǒng)計(jì),并給出相應(yīng)的誤碼率。在對通信系統(tǒng)的性能進(jìn)行誤碼檢測的設(shè)備中,發(fā)送部分的碼組發(fā)生器作為代替數(shù)字信息輸入的信號源是一種不可缺少的裝置。常用的碼組發(fā)生器有兩類:一類是偽隨機(jī)碼發(fā)生器,它產(chǎn)生的序列具有接近純隨機(jī)數(shù)字序列的特性:另一類是規(guī)則碼發(fā)生器。它可通過選擇開關(guān)或按鍵來確定規(guī)則碼的構(gòu)成。規(guī)則碼發(fā)生器的序列周期一般較短,主要用作單元部件和整機(jī)性能的測試序列。而在測量通信系統(tǒng)的誤碼率時,測量結(jié)果與誤碼
30、測試儀送出信號的統(tǒng)計(jì)特性有關(guān),通常認(rèn)為二進(jìn)制信號0和l是以等概率出現(xiàn)的,所以從理論上講,誤碼儀發(fā)碼發(fā)生器產(chǎn)生的信號以隨機(jī)序列為最佳,然而隨機(jī)序列難以重復(fù)產(chǎn)生,所以在實(shí)際測量時不能用隨機(jī)序列??紤]到偽隨機(jī)序列具有類似于隨機(jī)序列的一些統(tǒng)計(jì)特性,同時又便于重復(fù)產(chǎn)生和處理,所以一般用偽隨機(jī)序列來代替隨機(jī)序列,作為被測系統(tǒng)的測試信號。誤碼儀收端模塊所面臨的最主要問題是如何準(zhǔn)確地實(shí)現(xiàn)本地產(chǎn)生的序列與收到的數(shù)據(jù)流同步,即比特對齊,這是整個誤碼儀正常工作的前提。根據(jù)本地碼組發(fā)生器的構(gòu)成方式不同,將誤碼檢測器分為以下幾種類型:(1)幀同步式的誤碼檢測器這種誤碼檢測器的基本原理是在測試序列中插入幀同步碼組,在接收
31、端進(jìn)行幀同步識別,并依靠幀同步信號控制本地碼發(fā)生器,產(chǎn)生與測試序列相位一致和起止位置一致的本地碼組。(2)隨機(jī)同步式誤碼檢測器這種誤碼檢測器不需要幀同步系統(tǒng),當(dāng)然也就不需要在發(fā)端插入幀同步碼組。它的基本原理是將接收到的m序列的部分子序列寫入本地碼發(fā)生器的各級,就能使本地碼和接收碼保持同步。為了在建立同步后,保持同步的穩(wěn)定性,設(shè)置一個寫入控制門。讓接收碼寄存器的自序列在寫入本地碼發(fā)生器前必須先經(jīng)過寫入控制門。只有在子序列無誤碼和本地碼失步的情況下,控制門才打開。(3)收發(fā)自檢式誤碼檢測器它的特點(diǎn)是:本地碼組直接由發(fā)送碼組給出,不需要另外設(shè)置本地碼組發(fā)生器。在誤碼檢測器中,同時將發(fā)送碼組和接收碼組
32、進(jìn)行比較。這種檢測方式要求發(fā)送碼組和接收碼組在同一地點(diǎn),因此只能用于室內(nèi)測試。這種設(shè)備的碼組產(chǎn)生器和誤碼檢測器可做在同一套裝置上,電路較為簡單。測試時,將發(fā)送碼組分為兩路:一路送給被測通信系統(tǒng);另一路送給誤碼檢測器。由于接收碼組和發(fā)送碼組到達(dá)誤碼檢測器的時間不同,存在延時誤差,因此需要對發(fā)送碼組進(jìn)行延時調(diào)整,以便使兩路碼組信號的相位一致。(4)逐位檢測式誤碼檢測器逐位誤碼檢測器的基本工作原理是:本地m序列發(fā)生器產(chǎn)生的m序列和所接收的m序列進(jìn)行逐位比較,若兩個m序列同步,則比較器輸出傳輸誤碼;若兩m序列不同步,則比較器輸出的是由于失步造成的誤碼。由于失步造成的誤碼較大(根據(jù)m序列的特性,其誤碼率
33、應(yīng)為o5),因此可根據(jù)誤碼率門限來區(qū)分檢測系統(tǒng)是否失步,若失步,則讓本地m序列發(fā)生器等待一個位時鐘周期,依次逐位比較,并逐位控制本地m序列發(fā)生器的等待時間,直至兩序列完全同步為止。本系統(tǒng)用的是逐位檢測同步法。第三章 系統(tǒng)總體設(shè)計(jì)31 總體方案設(shè)計(jì)3.1.1 系統(tǒng)需求分析文章的目的為設(shè)計(jì)出一臺可實(shí)際操作運(yùn)行的誤碼儀設(shè)備,由于是基于fpga的設(shè)計(jì),系統(tǒng)具有很高的集成度和可擴(kuò)展性,并可以隨時升級,在設(shè)計(jì)的功能上可以隨時刪減和調(diào)整。經(jīng)過分析,整個設(shè)計(jì)的基本功能需求如下:測試速率:2mhz。測試碼型: 215-1位偽隨機(jī)序列碼 hdb3碼編解轉(zhuǎn)換;基于液晶屏的顯示;誤碼率計(jì)算以上的各個功能經(jīng)過軟件編程仿
34、真及綜合后,所消耗u洛(邏輯單元)大概在2000個左右。因此需選用具備足夠les(邏輯單元)的fpga芯片進(jìn)行設(shè)計(jì)。整個設(shè)計(jì)有三個子系統(tǒng):發(fā)送子系統(tǒng)、接受子系統(tǒng)、sopc系統(tǒng)。誤碼儀發(fā)送子系統(tǒng)完成誤碼測試中偽隨機(jī)測試碼的發(fā)送基本功能,需要完成系統(tǒng)要求需如下基本功能模塊:2151偽隨機(jī)碼產(chǎn)生模塊;誤碼插入模塊;hdb3碼型編碼模塊。誤碼儀接收子系統(tǒng),需要完成如下幾個功能模塊:hdb3碼型解碼模塊;同步時鐘提取模塊;偽隨機(jī)測試碼同步模塊。sopc系統(tǒng)完成的功能:誤碼統(tǒng)計(jì)及誤碼率運(yùn)算程序;lcd信息輸出;灌碼控制開關(guān)功能。3.1.2 系統(tǒng)總體方案設(shè)計(jì)根據(jù)系統(tǒng)需求制定了系統(tǒng)總構(gòu)架框圖,選用大規(guī)??删幊?/p>
35、門陣列芯片fpga作為主控芯片,利用eda軟件設(shè)計(jì)fpga的外圍電路和必要的功能電路。采用模塊化結(jié)構(gòu)不僅方便了調(diào)試和維護(hù)工作,而且提高了cpu的工作效率和系統(tǒng)運(yùn)行的可靠性。誤碼測試儀整體框圖如圖3.1所示發(fā)送部分hdb3編碼誤碼插入m序列發(fā)生器待測系統(tǒng)時鐘電路 接收部分 灌碼序列同步位同步hdb3解碼灌碼控制,誤碼計(jì)算,顯示sopc系統(tǒng) 圖3.1 誤碼測試儀整體框圖第四章 各個模塊設(shè)計(jì)4.1 時鐘模塊設(shè)計(jì)時鐘是整個設(shè)計(jì)中不可缺少的一環(huán),數(shù)據(jù)的產(chǎn)生,發(fā)送,接受以及計(jì)數(shù)等等,都需要有一個基準(zhǔn)的系統(tǒng)時鐘模塊來提供所需要的時鐘信號,時鐘模塊由鎖相環(huán)與時鐘分頻模塊組成。l 該模塊由quartusli的m
36、egacore(設(shè)計(jì)庫)功能自動生成,用于例化內(nèi)部pll(鎖相環(huán)),實(shí)現(xiàn)所需的倍頻功能??梢詫?0mhz時鐘8/25倍頻到16mhz作為內(nèi)部數(shù)據(jù)處理時鐘。圖4.1為系統(tǒng)生成的pll框圖。圖4.1 pll框圖如圖所示,inclk0為外部有源晶振提供的50mhz時鐘信號輸入,經(jīng)過pll產(chǎn)生co和c1兩個時鐘信號,其中co與inclk0相同,為50mhz,c1為inclk0的8/25倍頻,為16mhz。方框內(nèi)表格各項(xiàng)內(nèi)容分別為信號名稱,信號倍率,信號相位,占空比。通過quartus軟件仿真得到時序仿真波形圖如圖4.2所示:圖4.2 pll時序仿真波形圖l 8分頻模塊設(shè)計(jì)在系統(tǒng)中碼元速度為2mb/s,
37、需啊設(shè)計(jì)一個8分頻器將16m時鐘信號分頻為2m,8分頻器的設(shè)計(jì)用vhdl語言描述如下:library ieee;use ieee.std_logic_1164.all;entity fenpin_8 isgeneric(dwidth:integer:=8); port( clkin : in std_logic; clkout :out std_logic );end fenpin_8;architecture rtl of fenpin_8 issignal temp :integer range dwidth-1 downto 0;begin process(clkin) begin if
38、(clkinevent and clkin=1) then if temp=7 then temp=0; else temp=temp+1; end if; end if; end process; process(temp) begin if tempdwidth/2 then clkout=1; else clkout=0; end if; end process;end rtl;生成元件端口圖如圖4.3所示,其中c0為16m時鐘,clk為2m時鐘。圖4.3 8分頻生成元件端口圖通過quartus軟件仿真得到時序仿真波形圖如圖4.4所示,圖中端口與圖4.3對應(yīng)。圖4.4 8分頻時序仿真波形
39、圖4.2 m序列偽隨機(jī)信號發(fā)生器模塊設(shè)計(jì)4.2.1 m序列的介紹和產(chǎn)生原理許多通信理論都建立在這樣一個假設(shè)上,原始的信源信號為0,1 等概且相互獨(dú)立的隨機(jī)數(shù)字序列。這類碼序列的最重要的特征應(yīng)是具有近似于隨機(jī)信號的性能。也可以說具有與噪聲相似的性能。 但是,真正的隨機(jī)信號和噪聲是不能重復(fù)再現(xiàn)和產(chǎn)生的。 所以只能產(chǎn)生一種周期性的脈沖信號來近似隨機(jī)噪聲的性能,即偽隨機(jī)序列。本方案中采用的偽隨機(jī)序列是m序列。m序列碼也稱偽隨機(jī)序列碼,其主要特點(diǎn)是:(1)每個周期中,“1”碼出現(xiàn)2n-1次,“0”碼出現(xiàn)2n-1次,即0、1出現(xiàn)概率幾乎相等。 (2)序列中連1的數(shù)目是n,連0的數(shù)目是n-1。(3)分布無規(guī)
40、律,具有與白噪聲相似的偽隨機(jī)特性。由于具有這些特點(diǎn),m序列碼在通信、雷達(dá)、系統(tǒng)可靠性測試等方面獲得了廣泛地應(yīng)用。m序列碼發(fā)生器是一種反饋移位型結(jié)構(gòu)的電路,它由n位移位寄存器加異或反饋網(wǎng)絡(luò)組成,其序列長度m2n-1,只有一個多余狀態(tài)即全0狀態(tài),所以稱為最大線性序列碼發(fā)生器。由于其結(jié)構(gòu)已定型,且反饋函數(shù)和連接形式都有一定的規(guī)律,因此利用查表的方式就設(shè)計(jì)出m序列碼。列出部分m序列碼的反饋函數(shù)f和移存器位數(shù)n的對應(yīng)關(guān)系。如果給定一個序列信號長度m,則根據(jù)m2n-1求出n,由n查表便可以得到相應(yīng)的反饋函數(shù)f。圖4-5示出的是由n級移位寄存器構(gòu)成的碼序列發(fā)生器。它由n個二元存儲器和模2開關(guān)網(wǎng)絡(luò)組成。二元存
41、儲器通常是一種雙穩(wěn)態(tài)觸發(fā)器,它的兩種狀態(tài)記作“0”和“1”,其狀態(tài)取決于時鐘控制下輸入的信息(“0”或“1”),例如:第i級移位寄存器狀態(tài)決定于前一時鐘脈沖后的第i-1級移位寄存器的狀態(tài)。圖4.5圖中c0、c1cn均為反饋線,其中c0=cn=1,表示反饋連結(jié)。因?yàn)閙序列是由循環(huán)序列發(fā)生器產(chǎn)生的,因此c0和cn肯定為1,即參與反饋。而反饋系數(shù)c1、c2cn1若為1,參與反饋,若為0,則表示斷開反饋線,即開路、無反饋連線。 一個線性反饋移位寄存器能否產(chǎn)生m序列決定于它的反饋系數(shù)ci(c0cn的總稱)。反饋系數(shù)ci是以八進(jìn)制表示的。使用該表時,首先將每位八進(jìn)制數(shù)寫成二進(jìn)制形式。最左邊的1就是c0(c
42、0恒為1),從此向右,依次用二進(jìn)制數(shù)表示c1、c2cn。有了c1、c2值后,就可構(gòu)成m序列發(fā)生器。4.2.2 m序列發(fā)生器設(shè)計(jì) 其中fpga內(nèi)核中m序列發(fā)生器的設(shè)計(jì)思想采用移位寄存器理論,并根據(jù)本原多項(xiàng)式來獲得m序列移位寄存器的反饋邏輯式: 其中cn-1-igf(2)是反饋系數(shù),xigf(2)是每位寄存器的狀態(tài)。這樣,結(jié)合cyclone系列fpga芯片ep1c12-240pqfp的結(jié)構(gòu)特點(diǎn),就很容易實(shí)現(xiàn)反饋移位寄存器的邏輯功能。圖4.6 m 序列發(fā)生器圖該電路中共有15個移位寄存器(由d觸發(fā)器構(gòu)成),當(dāng)出現(xiàn)意外使得所有的d觸發(fā)器都輸出0時,在4個與門和1個與非門的作用下使得第一級觸發(fā)器低電平置
43、位端為0, 從而輸出為1,跳出了m序列不允許的全0狀態(tài), 實(shí)現(xiàn)了自啟動功能.可以防止所有的d觸發(fā)器都輸出0而進(jìn)入死循環(huán),實(shí)現(xiàn)自啟動是這個電路的最大特點(diǎn).下圖4.7為碼型為215-1位的偽隨機(jī)序列碼的波形仿真圖,圖中的信號輸入輸出端子的定義與圖4.6中的對應(yīng):圖4.7 偽隨機(jī)序列碼的波形仿真圖4.3 手動誤碼插入模塊設(shè)計(jì)發(fā)送端另外一個重要的功能是實(shí)現(xiàn)誤碼插入。也就是人為的在發(fā)送序列中插入已知插入頻率的誤碼信號,然后在接收端檢測這些誤碼,最后可以將檢測的結(jié)果與發(fā)送端己知的插入頻率進(jìn)行比較,以判斷通信系統(tǒng)的誤碼性能。這也是檢測通信系統(tǒng)性能的重要方法之一。最終輸出的碼型nrz_1則是加入了手動誤碼按鈕
44、掃描模塊輸入控制,當(dāng)手動誤碼指令key為1時將一個偽隨機(jī)碼反相,也就是將當(dāng)時的寄存器輸出的值經(jīng)過一級非門,從而實(shí)現(xiàn)了插入1個比特的誤碼。當(dāng)手動誤碼指令key為0,傳遞的偽隨機(jī)碼不變。內(nèi)部結(jié)構(gòu)框圖如圖4.8所示圖4.8 誤碼插入結(jié)構(gòu)圖通過軟件仿真得到功能仿真波形圖如圖4.9所示:沒按鍵加誤碼,有一個時鐘周期的延遲.圖4.9 不按插入誤碼鍵的時序仿真用一個時鐘周期的高電平模擬一次按鍵,手動加誤碼,時序仿真如下圖4-10:圖4.10 按下插入誤碼鍵加入誤碼的時序仿真4.4 位同步模塊設(shè)計(jì)位同步子模塊用于提取和跟蹤位同步時鐘,碼元的位同步時鐘在接收碼元的時候首先被提取出來,它是傳輸速率的標(biāo)志。本設(shè)計(jì)中
45、,采用碼元邊沿觸發(fā)的方式來獲取碼元的位時鐘信息。邊沿觸發(fā)方式就是采用碼元的上升沿或者下降沿作為觸發(fā)信號,對分頻計(jì)數(shù)器進(jìn)行復(fù)位,而分頻計(jì)數(shù)器的時鐘輸入端由本地高速時鐘提供,要求高速時鐘頻率比碼元速率要高出n倍,因此分頻計(jì)數(shù)器的分頻值則為n,n越大提取出來的同步位時鐘相位差越小。位同步模塊設(shè)計(jì)電路如圖4.11所示:圖4.11 位同步電路波形仿真圖圖如圖4.9所示:高速時鐘頻率采取16m,2m端口為原時鐘,高速時鐘頻率比碼元速率要高出8倍,因此分頻計(jì)數(shù)器的分頻值也采取8,rclk為同步出來的時鐘,與原2m時鐘相比,相位相差1/4。圖4.9 位同步波形仿真4.5 hdb3編解碼器設(shè)計(jì)hdb3(high
46、 density bipolar三階高密度雙極性)碼是在ami碼的基礎(chǔ)上改進(jìn)的一種雙極性歸零碼,它除具有ami碼功率譜中無直流分量,可進(jìn)行差錯自檢等優(yōu)點(diǎn)外,還克服了ami碼當(dāng)信息中出現(xiàn)連“0”碼時定時提取困難的缺點(diǎn),而且hdb3碼頻譜能量主要集中在基波頻率以下,占用頻帶較窄,是itu-tg.703推薦的pcm基群、二次群和三次群的數(shù)字傳輸接口碼型,因此hdb3碼的編解碼就顯得極為重要了。4.5.1hdb3編解碼原理 要了解hdb3碼的編碼規(guī)則,首先要知道ami碼的構(gòu)成規(guī)則,ami碼就是把單極性脈沖序列中相鄰的“1”碼(即正脈沖)變?yōu)闃O性交替的正、負(fù)脈沖。將“0”碼保持不變,把“1”碼變?yōu)?1、
47、-1交替的脈沖。如:nrz碼:1 0 0 0 0 1 0 0 0 0 1 1 0 0 0 0 1 1ami碼:-1 0 0 0 0 +1 0 0 0 0 -1 +1 0 0 0 0 -1 +1hdb3碼是一種ami碼的改進(jìn)型,它的編碼原理可簡述為,在消息的二進(jìn)制代碼序列中: (1)當(dāng)連“0”碼的個數(shù)不大于3時,hdb3編碼規(guī)律與ami碼相同,即“1”碼變?yōu)椤?1”、“-1”交替脈沖; (2)當(dāng)代碼序列中出現(xiàn)4個連“0”碼或超過4個連“0”碼時,把連“0”段按4個“0”分節(jié),即“0000”,并使第4個“0”碼變?yōu)椤?”碼,用v脈沖表示。這樣可以消除長連“0”現(xiàn)象。為了便于識別v脈沖,使v脈沖極性
48、與前一個“1”脈沖極性相同。這樣就破壞了ami碼極性交替的規(guī)律,所以v脈沖為破壞脈沖,把v脈沖和前3個連“0”稱為破壞節(jié)“000v”; (3)為了使脈沖序列仍不含直流分量,則必須使相鄰的破壞點(diǎn)v脈沖極性交替; (4)為了保證前面兩條件成立,必須使相鄰的破壞點(diǎn)之間有奇數(shù)個“1”碼。如果原序列中破壞點(diǎn)之間的“1”碼為偶數(shù),則必須補(bǔ)為奇數(shù),即將破壞節(jié)中的第一個“0”碼變?yōu)椤?”,用b脈沖表示。這時破壞節(jié)變?yōu)椤癰00v”形式。b脈沖極性與前一“1”脈沖極性相反,而b脈沖極性和v脈沖極性相同。如:nrz碼:1 0 0 0 0 1 0 0 0 0 1 1 0 0 0 0 1 1ami碼:-1 0 0 0
49、0 +1 0 0 0 0 -1 +1 0 0 0 0 -1 +1hdb3碼:-1 0 0 0 -v +1 0 0 0 +v -1 +1 b0 0-v +1 -1 4.5.2 hdb3編碼 hdb3碼生成電路主要是由4連零v取代碼變換器,b取代碼加入器、(一)輸入器及雙極變換器組成如圖4.10所示:圖4.10 hdb3碼生成電路pcm 二元單極性信息碼流經(jīng)4連零v取代碼變換器,使大于或等于4個連零碼中的第四個零碼用v取代碼來代替。當(dāng)相鄰v取代碼聞原始信息碼為偶數(shù)時很難保證v取代碼與前面相鄰傳號碼同極性,四此這時需將4個連零碼流中的第一個零碼加b取代碼,這由b取代碼加入器來完成 pcm+v信息碼流
50、經(jīng)過b取代碼加入器后,將輸出b碼或零碼(取決于相鄰v碼間碼數(shù)的奇偶性),再和pcm+v碼流相加即可輸出pcm+v+b含取代碼的信息碼流、最后經(jīng)過雙級性變換即可輸出hdb3信息碼。(1)4連零v取代碼變換器4連零v取代碼變換器是由三級移位寄存器d1、d2、d3、或門a、檢測與門b、非門c組成(如圖4.11所示)。當(dāng)信息碼流pcm經(jīng)過或門a和三級移位寄存器d1、d2、d3、后,即可將碼流中的前3位碼記憶于寄存器中,當(dāng)信息碼中前3為零,而第4個零碼來到時=1,檢測門b輸出=1,顯然此時的1碼并非是信息碼流中的1碼,而是人為的假碼稱取代碼v。經(jīng)過4連零v取代碼變換器后,輸出pcm+v含取代碼的信息碼流
51、。圖4.11 4連零v取代碼變換器(2)b取代碼加人器b取代碼加入器是由jk奇偶檢出電路、或門a、與門b1和b2、非門c及d觸發(fā)器組成。由前所述,當(dāng)相鄰取代碼v間的原始傳號碼為偶數(shù)時,需將4連零碼中的第一個零碼用取代碼b來代替,但是由于設(shè)計(jì)一個能區(qū)分取代碼v(士1碼)和傳號碼(士1碼)的計(jì)數(shù)邏輯電路比較復(fù)雜,為簡化電路將相鄰取代碼v中的一個v也參與計(jì)數(shù),顯然,此時當(dāng)相鄰取代碼v間的傳號為奇數(shù)時(含其中一個取代碼),應(yīng)加b取代碼。為了記數(shù),首先將pcm+v a=1信息碼流變換成a=05pcm+v 信息碼流,這由b1與門完成。奇偶檢出電路的奇偶計(jì)數(shù)是否加取代碼b應(yīng)在相鄰取代碼v間進(jìn)行。顯然奇偶檢出
52、電路計(jì)數(shù)前清零應(yīng)選在取代碼v結(jié)束時刻,奇偶檢出電路計(jì)數(shù)結(jié)束時刻應(yīng)選在下一個取代碼v開始時刻,例如:當(dāng)jk奇偶檢出電路檢出的是奇數(shù)時,q=1,它和取代碼v一起送到b2與門判斷,輸出1碼(b取代碼):當(dāng)奇偶檢出電路檢出是偶數(shù)時q=0,b2與門輸出是0碼,pcm+v信息碼流與b碼相加,即可輸出含取代碼v,b的pcm+v+b信息碼流 其變換波形如圖4.12所示圖4.12 b取代碼加入器(3)雙極變換邏輯電路雙極變換邏輯電路是由或門a、t觸發(fā)器,判決正、負(fù)脈沖與門b1和b2及阻塞振蕩器組成,見圖4.13所示。單極性pcm+v+b信息碼流通過或門a 觸發(fā)t觸發(fā)器使其輸出端q和分別和pcm+v+b相與,可檢
53、出正、負(fù)交替脈沖,通過阻塞振蕩器最后輸出正負(fù)交替脈沖。值得一提的是此脈沖滿足不了取代碼v與前相鄰傳號碼(或b取代碼)同極性的要求只要在4連零v碼前加一個脈沖,使t觸發(fā)器“空翻”轉(zhuǎn)次(此脈沖不參與正、負(fù)脈沖與門b1和b2判別),這樣v脈沖來時觸發(fā)t觸發(fā)器,經(jīng)正、負(fù)脈沖與門b1和b2的判別恰好保證了取代碼v與前相鄰的傳號碼(或b取代碼)同極性,經(jīng)過倒相和移一位的取代碼脈沖,正好對準(zhǔn)4連零碼中的第二個零碼,將其倒相后得到的脈沖,隨同pcm+v+b信息碼流同時送入或門a,觸發(fā)t觸發(fā)器,此時q 和和pcm+v十b相與得到的正、負(fù)脈沖經(jīng)阻塞振蕩器輸出即可生成hdb3碼流。圖4.13 雙極性變換電路 4.5
54、.3 hdb3解碼雖然hdb3 碼的編碼規(guī)則比較復(fù)雜,但譯碼卻比較簡單. 從編碼原理可以看出,每一破壞符號總是與前一非“0”符號同極性. 據(jù)此,從收到的符號序列中很容易找到破壞點(diǎn)v,于是斷定v 符號及其前面的3 個符號必定是連“0”符號,從而恢復(fù)4個連“0”碼,再將所有的+1 、- 1 變成“1”后便得到原信息代碼. 其解碼電路就是完成上述編碼的逆處理,首先將單路雙極性hdb3 碼碼流轉(zhuǎn)換成正、負(fù)極性分開的兩路單極性+ hdb3 和- hdb3 信號,然后檢出插入的v 脈沖和b 脈沖, 將取代碼“000v”或“b00v”還原成原信息“0000”. 圖4.14 hdb3譯碼電路功能方框圖(1)
55、rznrz變換電路經(jīng)均衡放大和雙單變換后的hdb3碼,首先經(jīng)過rznrz變換,將5o 占空比的歸零rz碼變換為符合邏輯要求的不歸零nrz碼,其實(shí)現(xiàn)電路如圖4.15圖4.15 rznrz變換電路(2) v檢測電路的設(shè)計(jì)v脈沖檢出電路主要由一個jk觸發(fā)器加組合與非門來完成,其實(shí)現(xiàn)電路如圖3所示。當(dāng)無v脈沖出現(xiàn)時,正常傳號h在輸入的正負(fù)脈沖中交替出現(xiàn)(正脈沖出現(xiàn)的傳號為1+ 負(fù)脈沖出現(xiàn)的傳號是1-),輸出v1端無脈沖輸出。當(dāng)v+脈沖或v-脈沖出現(xiàn)時,正脈沖或負(fù)脈沖序列會連續(xù)出現(xiàn)兩個脈沖。當(dāng)連續(xù)出現(xiàn)的第二個脈沖(v脈沖)到來時,若該脈沖為真正的v脈沖,則v1(對應(yīng)b00v或000v取代節(jié)的第二和第三位)就會輸出一個負(fù)脈沖,該負(fù)脈沖稱為v脈沖標(biāo)志,作為扣除b、v脈沖用。圖4.16 v脈沖檢出電路圖4.16的v脈沖檢出電路中,jk觸發(fā)器q端的輸出信碼和d觸發(fā)器輸出h+脈沖經(jīng)過一個與非門后,就把符合交替變號的h+脈沖扣除了,與非門的輸出信碼中就只有v+脈沖;同理,當(dāng)jk觸發(fā)器q端輸出信碼和h一脈沖經(jīng)過一個與非門后,輸出也只有v-脈沖??梢?,jk觸發(fā)器加組合
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 二零二五版家電產(chǎn)品消費(fèi)者滿意度調(diào)查服務(wù)合同2篇
- 二零二五版房地產(chǎn)融資居間代理合同范本3篇
- 二零二五年電影聯(lián)合制作與市場推廣合同2篇
- 二零二五版茶葉茶具專賣店加盟管理合同3篇
- 二零二五版汽車購置貸款保證擔(dān)保合同3篇
- 二零二五年度化肥原料進(jìn)口與分銷合同3篇
- 二零二五年度航空航天股權(quán)買賣合同范本3篇
- 二零二五版戶外廣告牌定期檢查與維修合同3篇
- 二零二五年度駕校車輛購置稅承包合同3篇
- 國際貿(mào)易第六章出口合同訂立2025年綠色貿(mào)易標(biāo)準(zhǔn)與認(rèn)證3篇
- 水泥廠鋼結(jié)構(gòu)安裝工程施工方案
- 2023光明小升初(語文)試卷
- 三年級上冊科學(xué)說課課件-1.5 水能溶解多少物質(zhì)|教科版
- GB/T 7588.2-2020電梯制造與安裝安全規(guī)范第2部分:電梯部件的設(shè)計(jì)原則、計(jì)算和檢驗(yàn)
- GB/T 14600-2009電子工業(yè)用氣體氧化亞氮
- 小學(xué)道德與法治學(xué)科高級(一級)教師職稱考試試題(有答案)
- 河北省承德市各縣區(qū)鄉(xiāng)鎮(zhèn)行政村村莊村名居民村民委員會明細(xì)
- 實(shí)用性閱讀與交流任務(wù)群設(shè)計(jì)思路與教學(xué)建議
- 應(yīng)急柜檢查表
- 通風(fēng)設(shè)施標(biāo)準(zhǔn)
- 酒店市場營銷教案
評論
0/150
提交評論