基于FPGA的直接數(shù)字合成器(DDS)設(shè)計(jì)報(bào)告_第1頁
基于FPGA的直接數(shù)字合成器(DDS)設(shè)計(jì)報(bào)告_第2頁
基于FPGA的直接數(shù)字合成器(DDS)設(shè)計(jì)報(bào)告_第3頁
基于FPGA的直接數(shù)字合成器(DDS)設(shè)計(jì)報(bào)告_第4頁
全文預(yù)覽已結(jié)束

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、基于FPGA的直接數(shù)字合成器(DDS)設(shè)計(jì)報(bào)告一、 實(shí)驗(yàn)內(nèi)容及要求1、 利用FLEX10的片內(nèi)RAM資源,根據(jù)DDS原理,設(shè)計(jì)產(chǎn)生正弦信號(hào)的各功能模塊和頂層原理圖;2、 利用實(shí)驗(yàn)板上的TLC7259轉(zhuǎn)換器,將1中得到的正弦信號(hào),通過D/A轉(zhuǎn)換,通過ME5534濾波后在示波器上觀察;3、 輸出波形要求:在輸入時(shí)鐘頻率為16KHz時(shí),輸出正弦波分辨率達(dá)到1Hz;在輸入時(shí)鐘頻率為4MHz時(shí),輸出正弦波分辨率達(dá)到256Hz;4、 通過RS232C通信,實(shí)現(xiàn)FPGA和PC機(jī)之間串行通信,從而實(shí)現(xiàn)用PC機(jī)改變頻率控制字,實(shí)現(xiàn)對(duì)輸出正弦波頻率的控制。二、 DDS電路的原理直接數(shù)字頻率合成(Direct Di

2、gital Synthesizer DDS)技術(shù)是從相位概念出發(fā)直接合成所需波形的一種新的頻率合成技術(shù),這種方法不僅可以產(chǎn)生不同頻率的正弦波,而且可以控制波形的初始相位。還可以用DDS方法產(chǎn)生任意波形(AWG).DDS電路的工作原理如圖1所示:其工作過程為:1 將存于數(shù)表中的數(shù)字波形,經(jīng)數(shù)模轉(zhuǎn)換器D/A,形成模擬量波形。2 兩種方法可以改變輸出信號(hào)的頻率:(1)、改變查表尋址的時(shí)鐘CLOCK的頻率,可以改變輸出波形的頻率。(2)、改變尋址的步長來改變輸出信號(hào)的頻率,DDS即為對(duì)數(shù)字波形查表的相位增量,由累加器對(duì)相位增量進(jìn)行累加,累加器的值作為查表地址。3、D/A輸出的階梯形波形,經(jīng)低通(或帶通

3、)濾波,成為質(zhì)量符合需要的模擬波形。該DDS系統(tǒng)的核心是相位累加器,它由一個(gè)加法器和一個(gè)位相位寄存器組成,每來一個(gè)時(shí)鐘,相位寄存器以步長M增加,相位寄存器的輸出于相位控制字相加,然后輸入到正弦查詢表地址上。正弦查詢表包含一個(gè)周期正弦波的數(shù)字幅度信息,每個(gè)地址對(duì)應(yīng)正弦波中0度到360度范圍的一個(gè)相位點(diǎn)。查詢表把輸入的地址相位信息映射成正弦波幅度的數(shù)字量信號(hào),驅(qū)動(dòng)DAC,輸出模擬量。相位寄存器每經(jīng)過2N/M個(gè)fc時(shí)鐘后回到初始狀態(tài),相應(yīng)的正弦波頻率位為: 頻率控制字與輸出信號(hào)頻率和參考時(shí)鐘頻率之間的關(guān)系為: 其中N是相位累加器的字長。頻率控制字與輸出信號(hào)頻率成正比,可見控制M就能得到任意頻率的信號(hào)

4、。通常用頻率增量來表示頻率合成器的分辨率,DDS的最小分辨率為:這個(gè)增量也就是最低的合成頻率。由取樣定理,所產(chǎn)生的信號(hào)頻率不能超過時(shí)鐘頻率的一半,因此最高的合成頻率為:在實(shí)際運(yùn)用中,為了保證信號(hào)的輸出質(zhì)量,輸出頻率不要高于時(shí)鐘頻率的1/4,以避免混疊或諧波落入有用輸出頻帶內(nèi)。正弦ROM查詢表完成輸出信號(hào)相位到幅度的轉(zhuǎn)換,它的輸入是相位寄存器和相位控制字之和,其實(shí)也就是ROM的地址值(寬度為P位);ROM查找輸出的數(shù)據(jù)(也為P位)送往D/A轉(zhuǎn)換成模擬信號(hào)。在實(shí)際應(yīng)用中,P不能太大,如果P太大,回導(dǎo)致ROM容量的成倍上升,而輸出受D/A精度的限制未有很大改善。圖2為相位累加器工作示意圖,從圖中可以

5、看出,雖然相位累加器的位數(shù)為N為,但是只取高P位進(jìn)行ROM查表。三、 設(shè)計(jì)部分和程序1系統(tǒng)的頂層原理圖:2AD14這個(gè)模塊的VHDL語言源文件:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY ad14 IS PORT (CLK:IN STD_LOGIC;m: in std_logic_vector(11 downto 0); co: OUT STD_LOGIC_vector(7 downto 0) );END ad14;ARCHITECTURE BEHAVE OF ad14 ISsi

6、gnal te : std_logic_vector(13 downto 0);signal re : std_logic_vector(13 downto 0);BEGIN PROCESS(CLK) BEGINIF (CLKEVENT AND CLK=1) THENte=re+m+1;re=te;end if;co=re(13 downto 6); END PROCESS;END BEHAVE;其中re共有14位,其高八位是用來進(jìn)行ROM查表的(既co),其低六位可用來改變輸入頻率且當(dāng)m大于127(既是m1128為2的7次,所以每加一次co都會(huì)增加一)時(shí)一個(gè)周期內(nèi)對(duì)ROM表內(nèi)的數(shù)的查詢少于2

7、56個(gè)點(diǎn)(這里建立的表中一個(gè)周期內(nèi)有256個(gè)點(diǎn)),所以隨著m值的再增加,會(huì)使一個(gè)周期內(nèi)的采樣點(diǎn)數(shù)越來越少,從而出現(xiàn)波形失真現(xiàn)象。3 正弦ROM查詢表WIDTH=8;DEPTH=256;ADDRESS_RADIX=DEC;DATA_RADIX=DEC;CONTENT BEGIN0 : 64;1 : 65;2 : 67;3 : 68;4 : 70;5 : 71;6 : 73;7 : 74;8 : 76;9 : 78;10 : 79;11 : 81;12 : 82;13 : 84;14 : 85;15 : 87;16 : 88;17 : 89;18 : 91;19 : 92;20 : 94;21 :

8、 95;22 : 96;23 : 98;24 : 99;25 : 100;26 : 102;27 : 103;28 : 104;29 : 105;30 : 106;31 : 108;32 : 109;33 : 110;34 : 111;35 : 112;36 : 113;37 : 114;38 : 115;39 : 116;40 : 117;41 : 118;42 : 118;43 : 119;44 : 120;45 : 121;46 : 121;47 : 122;48 : 123;49 : 123;50 : 124;51 : 124;52 : 125;53 : 125;54 : 126;55

9、 : 126;56 : 126;57 : 127;58 : 127;59 : 127;60 : 127;61 : 127;62 : 127;63 : 127;64 : 128;65 : 127;66 : 127;67 : 127;68 : 127;69 : 127;70 : 127;71 : 127;72 : 126;73 : 126;74 : 126;75 : 125;76 : 125;77 : 124;78 : 124;79 : 123;80 : 123;81 : 122;82 : 121;83 : 121;84 : 120;85 : 119;86 : 118;87 : 118;88 :

10、117;89 : 116;90 : 115;91 : 114;92 : 113;93 : 112;94 : 111;95 : 110;96 : 109;97 : 108;98 : 106;99 : 105;100 : 104;101 : 103;102 : 102;103 : 100;104 : 99;105 : 98;106 : 96;107 : 95;108 : 94;109 : 92;110 : 91;111 : 89;112 : 88;113 : 87;114 : 85;115 : 84;116 : 82;117 : 81;118 : 79;119 : 78;120 : 76;121

11、: 74;122 : 73;123 : 71;124 : 70;125 : 68;126 : 67;127 : 65;128 : 64;129 : 62;130 : 60;131 : 59;132 : 57;133 : 56;134 : 54;135 : 53;136 : 51;137 : 49;138 : 48;139 : 46;140 : 45;141 : 43;142 : 42;143 : 40;144 : 39;145 : 38;146 : 36;147 : 35;148 : 33;149 : 32;150 : 31;151 : 29;152 : 28;153 : 27;154 : 25;155 : 24;156 : 23;157 : 22;158 : 21;159 : 19;160 : 18;161 : 17;162 : 16;163 : 15;164 : 14;165 : 13;166 : 12;167 : 11;168 : 10;169 : 9;170 : 9;171 : 8;172 : 7;173 : 6;174 : 6;175 : 5;176 : 4;177 : 4;178 : 3;179 : 3;180 : 2;181 : 2;182 : 1;183 : 1;184 : 1;185 : 0;186 : 0;187 : 0;188

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論