等精度頻率的測量設計報告.doc_第1頁
等精度頻率的測量設計報告.doc_第2頁
等精度頻率的測量設計報告.doc_第3頁
等精度頻率的測量設計報告.doc_第4頁
等精度頻率的測量設計報告.doc_第5頁
已閱讀5頁,還剩2頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內容提供方,若內容存在侵權,請進行舉報或認領

文檔簡介

等精度頻率的測量設計報告 等精度頻率的測量設計報告 報告人:朱伯程(074100138) 周哲遠(074100137)報告摘要:本文介紹了一種同步測周期計數器的設計,并基于該計數器設計了一個高精度的數字頻率計。文中給出了計數器的VHDL編碼,并對頻率計的FPGA實現進行了仿真驗證,給出了測試結果。同時在分析了等精度測頻在實現時存在的問題的基礎上,介紹了一種基于自適應分頻法的頻率測量技術,可達到簡化測量電路、提高系統(tǒng)可靠性、實現高精度和寬范圍測量的目的關鍵詞:頻率計 VHDL FPGA 周期測量 等精度 自適應 分頻一、 實驗原理1頻率測量的幾種方法:工程上測量頻率和周期的方法一般可以分為無源測頻法、有源比較法、電子計數器3種。無源測頻法又可分為諧振法和電橋法,常用于頻率粗測,精度在1%左右。有源比較法可分為拍頻法和差頻法,前者是利用信號線性疊加,產生拍頻現象,通過檢測零差后現象測頻,常用于低頻測量,誤差在零點幾赫;后者是利用兩個信號非線性疊加,產生差頻現象,通過檢測零差現象測頻,常用于高頻測量,誤差為士20Hz左右??梢?,以上在測量范圍和精度上都難以達到要求。電子計數器的測頻原理實質上以比較法為基礎,它將被測信號頻率人與時基信號頻率相比,兩個頻率相比得到的結果以數字的形式顯示出來。同時,它在測量范圍和精度上都能達到要求。2等精度測頻基本原理等精度頻率測量技術又叫做多周期同步測量技術,它主要由被測信號計數器、參考信號計數器、同步閘門控制器、采樣時間控制器以及運算單元等組成,工作原理下圖所示。波形圖解: 根據設計任務的要求,因此我們選擇用等精度測量法進行系統(tǒng)設計。二、 實驗任務與要求(一)任務設計一個簡易等精度頻率計。(二)要求a.測量范圍 信號:方波幅度:TTL電平;頻率:1Hz1MHzb.測試誤差0.1%(全量程)* 閘門時間:1s,響應時間:2s乘除運算:單片機、FPGA、計算器計算三、 系統(tǒng)總體方案設計根據測頻過程的思路,可編寫相應的軟件。測頻程序流程圖下圖所示:根據流程圖與要求,本實驗的需要注意的地方:1 計數器的位數。由于要測量的頻率范圍為1Hz1MHz。所以可以設置計數器位數為20位。對于基準信號的頻率,選用1MHz的標準脈沖信號。2 分頻器。首先要進行2分頻。(供粗測使用)。再進行任意分頻,供精測使用。3 鎖碼器。為的是穩(wěn)定計數器的最后數據。4 在第一次計數完成之后,要能自動對計數器進行清零。四、 系統(tǒng)子模塊實現及仿真結果1、 首先進行分頻.這里要用到自己定制的分頻器它的VHDL代碼如下:LIBRARY ieee; USE ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; ENTITY fsd IS PORT (clock: IN STD_LOGIC; fsd_num:in std_logic_vector(19 downto 0);-輸入要分頻的數字,比如要8分頻,只要輸入相應的二進制就可以了。 div_out:out std_logic); END fsd; ARCHITECTURE bhv OF fsd IS BEGIN PROCESS(clock) VARIABLE cout:INTEGER:=0; variable fsd_num_dec,half_fsd_num_dec:integer;BEGIN fsd_num_dec:=conv_integer(fsd_num); half_fsd_num_dec:=fsd_num_dec/2; IF clockEVENT AND clock=1 THEN cout:=cout+1; IF couthalf_fsd_num_dec or cout=half_fsd_num_dec THEN div_out=1; ELSIF coutfsd_num_dec THEN div_out=0; ELSE cout:=0; END IF; END IF; END PROCESS; end bhv;2、 接著進入同步計數階段.包括使計數器在一個周期計完后的清零。(計數器用的是異步清零端。下圖中的下方的與門非門等組成的邏輯電路部分是清零控制部分)3.鎖碼部分.這里的my_latch模塊是起鎖碼作用,這樣就能使輸出的數據能夠穩(wěn)定。最后的exch22to20模塊是把輸出的23位數據轉換為20位數據輸出。(由于宏模塊的關系,輸出的23的數據中只有20位是有用的)4 計算部分,這部分的功能要把結果顯示成十進制,并能進行分段表示.這部分的原理比較簡單,但是用到的元件卻比較多,如下:5.整體布局五、 系統(tǒng)測試 用TTL電平作為輸入信號,并用1MHz的脈沖作為基準頻率信號。系統(tǒng)測試結果如下: 從實驗數據上來看,測試的結果實現了頻率范圍在1Hz1MHz的測量,并且測試誤差0.1%(全量程)。因此從這個角度來看這次設計的系統(tǒng)能夠滿足實驗要求。六、 實驗總結本文設計的同步測周期計數器能夠直接對被測信號進行連續(xù)的測周期計數,可以在許多頻率測量的設計中取代門控計數器,使設計更加靈活。文中采用兩個同步測周期計數器設計的數字頻率計,無需選擇量程便可實現寬頻段高精度的頻率測量,并在FPGA中實現了系統(tǒng)集成。同時根據頻率的不同能自動適應并測量。并且能通過數據選擇器進行分段顯示數據。 本文設計的系統(tǒng)達到任務的要求,基本上完成了目標。但是要完整的完成實用系統(tǒng)的應用,還要在一些細節(jié)上加強修改,還要精簡設計。比如元件的數量,尤其在計數部分,用的器件數遠遠大于前面的模塊。(這樣要進行功能擴展的話會帶來一些困難,比如Quartus會提示元件不夠)。而這歸根到底是因為這里所用的器件都是通過宏模塊產生的,而不是自己定制的,因此這些元件的一些功能會用不上而造成浪費。參考文獻:1 鐘波,孟曉風。一種基于自適應分頻的頻率測量方法及其實現。北京航空航天大學儀器科學與光電工程學院,北京1000832 李紅剛,張素萍,楊林楠?;贔PGA的高速等精度頻率測量系統(tǒng)設計3 梁海文.用單片機實現雙計數

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經權益所有人同意不得將文件中的內容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網僅提供信息存儲空間,僅對用戶上傳內容的表現方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
  • 6. 下載文件中如有侵權或不適當內容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論