數(shù)字邏輯課后習(xí)題答案科學(xué)出版社_第五版( x頁)_第1頁
數(shù)字邏輯課后習(xí)題答案科學(xué)出版社_第五版( x頁)_第2頁
數(shù)字邏輯課后習(xí)題答案科學(xué)出版社_第五版( x頁)_第3頁
數(shù)字邏輯課后習(xí)題答案科學(xué)出版社_第五版( x頁)_第4頁
數(shù)字邏輯課后習(xí)題答案科學(xué)出版社_第五版( x頁)_第5頁
已閱讀5頁,還剩63頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

1、第一章 開關(guān)理論基礎(chǔ)1.將下列十進(jìn)制數(shù)化為二進(jìn)制數(shù)和八進(jìn)制數(shù) 十進(jìn)制 二進(jìn)制 八進(jìn)制49 110001 6153 110101 65127 1111111 177635 1001111011 11737.493 111.1111 7.7479.43 10011001.0110111 231.3342.將下列二進(jìn)制數(shù)轉(zhuǎn)換成十進(jìn)制數(shù)和八進(jìn)制數(shù) 二進(jìn)制 十進(jìn)制 八進(jìn)制 1010 10 12 111101 61 75 1011100 92 134 0.10011 0.59375 0.46 101111 47 57 01101 13 153.將下列十進(jìn)制數(shù)轉(zhuǎn)換成8421BCD碼1997=0001 100

2、1 1001 011165.312=0110 0101.0011 0001 00103.1416=0011.0001 0100 0001 01100.9475=0.1001 0100 0111 01014.列出真值表,寫出X的真值表達(dá)式A B C X0 0 0 00 0 1 00 1 0 00 1 1 11 0 0 01 0 1 11 1 0 11 1 1 1 X=BC+AC+AB+ABC5.求下列函數(shù)的值當(dāng)A,B,C為0,1,0時: B+BC=1 (A+B+C)(+)=1 (B+A)B=1當(dāng)A,B,C為1,1,0時: B+BC=0 (A+B+C)(+)=1 (B+A)B=1當(dāng)A,B,C為1,

3、0,1時: B+BC=0 (A+B+C)(+)=1 (B+A)B=06.用真值表證明下列恒等式(1) (AB)C=A(BC) A B C (AB)C A(BC)0 0 0 0 00 0 1 1 10 1 0 1 10 1 1 0 01 0 0 1 11 0 1 0 01 1 0 0 01 1 1 1 1所以由真值表得證。(2)=ACA B C AC0 0 0 1 10 0 1 0 00 1 0 0 00 1 1 1 11 0 0 0 01 0 1 1 11 1 0 1 11 1 1 0 07.證明下列等式(1) A+B=A+B證明:左邊= A+B =A(B+)+B =AB+A+B =AB+A+

4、AB+A =A+B =右邊(2) ABC+AC+AB=AB+AC證明:左邊= ABC+AC+AB = ABC+AC+AB+ABC =AC(B+)+AB(C+) =AB+AC =右邊(3) =A+CD+E 證明:左邊= =A+CD+A+E =A+CD+E =A+CD+E =右邊(4) = 證明:左邊= = =右邊8.用布爾代數(shù)化簡下列各邏輯函數(shù)表達(dá)式(1) F=A+ABC+A+CB+= A+BC+(2) F(A+B+)(A+B+C) = (A+B)+C = A+B(3) FABC+ABD+BC+ABCD+B = AB+BC+BD(4) F= BC(5) F=9.將下列函數(shù)展開為最小項(xiàng)表達(dá)式(1)

5、 F(A,B,C) = (1,4,5,6,7) (2) F(A,B,C,D) = (4,5,6,7,9,12,14)10.用卡諾圖化簡下列各式(1)化簡得F=(2)化簡得F= (3) F(A,B,C,D)=m(0,1,2,5,6,7,8,9,13,14)化簡得F=(4) F(A,B,C,D)=m(0,13,14,15)+(1,2,3,9,10,11) 化簡得F=11.利用與非門實(shí)現(xiàn)下列函數(shù),并畫出邏輯圖。(1) F= F= (A nand (not C) ) nand 1 CA1 (2) F= (3) F(A,B,C,D)=m(0,1,2,4,6,10,14,15)=CD ADABCCBA12

6、. 已知邏輯函數(shù),試用以下方法表示該函數(shù)真值表:A B C X0 0 0 00 0 1 10 1 0 10 1 1 11 0 0 11 0 1 11 1 0 11 1 1 0 卡諾圖: 邏輯圖: 波形圖VHDL語言 X N OR X O, then , else 。解: 6 有一個數(shù)字比較系統(tǒng),它能對兩個8位二進(jìn)制進(jìn)行比較。其操作過程如下:先將兩個8位二進(jìn)制數(shù)存入寄存器A和B, 然后進(jìn)行比較,最后將大數(shù)移入寄存器A中。要求: 畫出此系統(tǒng)方框圖,并構(gòu)造ASM流程圖。 設(shè)計(jì)一個計(jì)數(shù)器型控制器。解:(1)狀態(tài)轉(zhuǎn)移真值表 電路圖 控制信號表達(dá)式: 7. 根據(jù)題6的條件,設(shè)計(jì)一個MUX型控制器。 = 1

7、 * GB3 ASM流程圖 = 2 * GB3 狀態(tài)轉(zhuǎn)移表 = 3 * GB3 電路圖 = 4 * GB3 控制信號表達(dá)式為:LDRB=(狀態(tài)a狀態(tài)c)T2=(B)T2LDRA=狀態(tài)bT2=AT2CAP=狀態(tài)dBA8. 根據(jù)題6的條件,設(shè)計(jì)一個定序型控制器。 = 1 * GB3 ASM流程圖 = 2 * GB3 狀態(tài)轉(zhuǎn)移表 (3)寫出激勵方程 NS= PSC控制信號表達(dá)式(4)邏輯電路圖9. 根據(jù)題6的條件,設(shè)計(jì)一個微程序控制器。 = 1 * GB3 微程序流程圖 = 2 * GB3 微指令格式 = 3 * GB3 定時信號 T1-打入微指令寄存器定時T2-執(zhí)行部件控制信號定時 T3-修改微地

8、址并讀出控存定時= 4 * GB3 微程序控制器電路= 5 * GB3 微程序代碼10. 某控制器的狀態(tài)表如下表所示,其中X和Y為輸入變量,試設(shè)計(jì)一個計(jì)數(shù)器型控制器。= 1 * GB3 ASM流程圖與編碼(Q1,Q2為兩個觸發(fā)器) 令 狀態(tài)A=00, B=01, C=10, D=11 = 2 * GB3 狀態(tài)轉(zhuǎn)移表 = 3 * GB3 激勵方程表達(dá)式利用NS=PSC公式,使用D觸發(fā)器。 Q2(D)=X + XY + Q1X + Q1XY + Q2XY + Q2 Q1X + Q2 Q1XY = X + XY + Q1X Q1(D) = Y + Q1XY + Q2(X+Y) + Q2Q1Y = Y

9、 + Q2X + Q2Y = 4 * GB3 電路圖 = 5 * GB3 控制信號表達(dá)式(假設(shè)為電位控制信號)F=狀態(tài)A + 狀態(tài)BY + 狀態(tài)CX + 狀態(tài)D = + Q1Y + Q2X + Q2Q111. .根據(jù)題10的條件,設(shè)計(jì)一個MUX型控制器解答:1) ASM流程圖與編碼同計(jì)數(shù)器型控制器(見第10題答案)2) 按MUX方式列出狀態(tài)轉(zhuǎn)移真值表3) 畫出電路圖 12.根據(jù)題10的條件,設(shè)計(jì)一個定序型控制器解答:1) ASM流程圖與計(jì)數(shù)器法相同2) 使用Qa、Qb、Qc、Qd四個觸發(fā)器,編碼分別為Qa=1000,Qb=0100,Qc=0010,Qd=00013) 狀態(tài)轉(zhuǎn)移真值表 4) 寫出

10、激勵方程 NS= PSC5)畫出電路圖 13.設(shè)計(jì)一個累加運(yùn)算系統(tǒng)定序型控制器解答:1) 算法流程圖2) 狀態(tài)轉(zhuǎn)移真值表及激勵函數(shù)表達(dá)式 NS=PSC(C=1,無條件轉(zhuǎn)移)3) 控制信號表達(dá)式4) 電路圖 14.設(shè)計(jì)一個累加運(yùn)算系統(tǒng)MUX型控制器解答:1) ASM流程圖2)狀態(tài)轉(zhuǎn)移真值表及激勵表達(dá)式NS=PSC 3)電路圖4)控制信號表達(dá)式 15. 圖P6.1所示ASM流程圖,設(shè)計(jì)計(jì)數(shù)器型控制器解:(1)ASM流程圖與編碼(Q1,Q2為兩個觸發(fā)器) 令 狀態(tài)a=00, b=01, c=11, d=10 = 2 * GB3 狀態(tài)轉(zhuǎn)移表(3)次態(tài)方程 (4)控制信號 16根據(jù)圖P6.1所示ASM流

11、程圖,設(shè)計(jì)一個MUX型控制器解:(1)ASM流程圖、編碼、狀態(tài)轉(zhuǎn)移真值表同計(jì)數(shù)器型控制器(見第15題答案)(2) MUXA的輸出接觸發(fā)器D2,MUXB的輸出接觸發(fā)器D1,則 (3)控制信號 17. 根據(jù)圖P6.1所示ASM流程圖,設(shè)計(jì)一個定序型控制器解: 1)使用Qa、Qb、Qc、Qd四個觸發(fā)器對應(yīng)四個狀態(tài)a,b,c,d2)狀態(tài)轉(zhuǎn)移真值表及激勵方程表達(dá)式 (3)控制信號 18. 根據(jù)圖P6.1所示ASM流程圖,設(shè)計(jì)一個微程序控制器。解:步驟如下l 將ASM流程圖轉(zhuǎn)化為微程序流程圖l 確定微指令地址l 確定微命令l 確定微指令格式和字長l 確定控制存儲器容量l 寫出微地址轉(zhuǎn)移邏輯表達(dá)式l 將微指

12、令編譯成二進(jìn)制代碼。 19. 根據(jù)教材圖P6.7所示通路,設(shè)計(jì)一個微程序控制器。 略 20. 設(shè)計(jì)十字路口交通燈控制器解:交通燈控制系統(tǒng)結(jié)構(gòu)框圖控制系統(tǒng)ASM圖如下21設(shè)計(jì)一個彩燈控制器。解:彩燈電路框圖如下 library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity light isport(clk1: in std_logic; -時鐘信號light: buffer std_logic_vector(11 downto 0); -輸出 end light;architecture behv

13、of light is constant len: integer:=11; signal banner: std_logic:=0; -定義信號banner為兩種節(jié)拍轉(zhuǎn)換信號; signal clk,clk2: std_logic; -信號CLK,CLK2作為輔助時鐘begin clk=(clk1 and banner) or (clk2 and not banner); process(clk1) begin if clk1event and clk1=1 then -CLK1二分頻得CLK2 clk2=not clk2; end if; end process; process(clk)

14、 - variable flag: bit_vector(3 downto 0):=0000; begin if clkevent and clk=1 then if flag=0000 then light=1 & light(len downto 1); -順序向右循環(huán)移位 if light(1)=1 then -依次點(diǎn)亮 flag:=0001; end if; elsif flag=0001 then 依次熄滅 light=light(len-1 downto 0) & 0; if light(10)=0 then flag:=0010; end if; elsif flag=0010

15、then light= light(len-1 downto 0) & 1; -順序向左循環(huán)移位 if light(10)=1 then -依次點(diǎn)亮 flag:=0011; end if; elsif flag=0011 then 依次熄滅 light= 0 & light(len downto 1); if light(1)=0 then flag:=0100; end if; elsif flag=0100 then light(len downto 6)=light(len-1 downto 6)&1; -從中間向兩邊點(diǎn) light(len-6 downto 0)=1&light(len-6 downto 1); if light(1)=1 then flag:=0101; end if; elsif flag=0101 then light(len downto 6)=0&light(len downto 7); -從兩邊向中間熄 light(len-6 downto 0)=light(len-7 downto 0)&0; if light(2)=0 then flag:=0110; end if; elsif flag=0110 then light(len downto 6)=1&light(len downto 7); -奇 偶位循環(huán)點(diǎn)亮 li

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論