版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡(jiǎn)介
1、 數(shù)字電路與邏輯設(shè)計(jì)實(shí)驗(yàn)報(bào)告學(xué)院:信通院 班級(jí):2008211126 姓名:王尋 學(xué)號(hào):08210712 班內(nèi)序號(hào):16一、實(shí)驗(yàn)課題交通燈控制器二、任務(wù)要求 設(shè)計(jì)制作一個(gè)用于十字路口的交通燈控制器。南北和東西方向各有一組綠、黃、紅燈用于指揮交通,綠燈、黃燈和紅燈的持續(xù)時(shí)間分別為20 秒、5 秒和25 秒; 當(dāng)有特殊情況(如消防車(chē)、救護(hù)車(chē)等)時(shí),兩個(gè)方向均為紅燈亮,計(jì)時(shí)停止,當(dāng)特殊情況結(jié)束后,控制器恢復(fù)原來(lái)狀態(tài),繼續(xù)正常運(yùn)行; 用兩組數(shù)碼管,以倒計(jì)時(shí)方式顯示兩個(gè)方向允許通行或禁止通行的時(shí)間; ·選做:增加左、右轉(zhuǎn)彎顯示控制功能; ·選做:其它自擬功能。三、設(shè)計(jì)思路 利用有限狀
2、態(tài)機(jī)描繪出交通燈的狀態(tài)轉(zhuǎn)移圖,并設(shè)置記錄東西和南北路口可通行時(shí)間的全局變量count1,count2。狀態(tài)間的轉(zhuǎn)移以count1,count2的值作為判斷條件。對(duì)于兩種特殊情況:當(dāng)復(fù)位信號(hào)reset為高電平時(shí),則回到最初狀態(tài);當(dāng)緊急輸入信號(hào)emergency為高電平時(shí),則轉(zhuǎn)移到一特殊狀態(tài)。四、總體框圖及分塊設(shè)計(jì)1.實(shí)驗(yàn)的總體框圖如下所示交通燈控制器邏輯劃分方框圖交通燈控制器邏輯流程圖交通燈控制器的狀態(tài)轉(zhuǎn)移圖 其中圖中count1和count2分別是南北方向和東西方向交通燈允許或禁止通行的時(shí)間,程序已將南北方向和東西方向的交通燈分別初始化為綠燈和紅燈,count1和count2分別初始化為20和
3、25。一般情況下在一個(gè)時(shí)鐘周期后count1和count2 的值都將減1。另外,在reset信號(hào)為高電平時(shí),不論其他狀態(tài)和信號(hào)如何,系統(tǒng)回到S0狀態(tài)且count1和count2的值分別為20和25,在reset信號(hào)為低電平且emergency為高電平時(shí),兩個(gè)方向交通燈均為紅燈且其數(shù)碼管均顯示0,直到emergency恢復(fù)低電平時(shí),系統(tǒng)恢復(fù)到emergency剛快要出現(xiàn)時(shí)系統(tǒng)的狀態(tài),并重新正常運(yùn)行。由于考慮了reset和emergency這兩個(gè)特殊輸入信號(hào)后,狀態(tài)轉(zhuǎn)移圖將會(huì)變得很復(fù)雜且不易畫(huà)出,故在此略去這兩個(gè)特殊輸入信號(hào)。在我的實(shí)驗(yàn)設(shè)計(jì)中,整個(gè)交通燈控制器包括5個(gè)模塊:控制器模塊control
4、;計(jì)數(shù)模塊countdown;主分頻模塊fenpin;為數(shù)碼管共陰極掃描電壓產(chǎn)生掃描頻率的分頻模塊showfenpin;數(shù)碼管顯示譯碼模塊show?,F(xiàn)對(duì)各個(gè)模塊的設(shè)計(jì)作一簡(jiǎn)要闡述:控制器模塊control:這是整個(gè)程序的核心模塊,控制著交通燈狀態(tài)的轉(zhuǎn)移和交通燈通行時(shí)間count1和count2數(shù)值的改變,該模塊是交通燈狀態(tài)轉(zhuǎn)移圖的程序?qū)崿F(xiàn)。計(jì)數(shù)模塊countdown:該模塊將count1和count2數(shù)值轉(zhuǎn)化為二進(jìn)制信號(hào)并以較高頻率掃描數(shù)碼管的共陰極以顯示多位數(shù)字的通行時(shí)間,是一個(gè)初級(jí)譯碼模塊。主分頻模塊fenpin:該模塊將實(shí)驗(yàn)板固有50M晶振時(shí)鐘頻率進(jìn)行50000000分頻,以產(chǎn)生控制器模
5、塊所需的1Hz頻率。為數(shù)碼管共陰極掃描電壓產(chǎn)生掃描頻率的分頻模塊showfenpin:該模塊將實(shí)驗(yàn)板固有50M晶振時(shí)鐘頻率進(jìn)行5000分頻,以產(chǎn)生計(jì)數(shù)模塊countdown所需的10kHz頻率。(實(shí)驗(yàn)中我已嘗試過(guò)直接用50M晶振的頻率直接掃描數(shù)碼管共陰極,但數(shù)碼管無(wú)法正常顯示,將其頻率降低一定倍數(shù)后數(shù)碼管方能正常顯示)數(shù)碼管顯示譯碼模塊show:該模塊通過(guò)對(duì)每一位數(shù)字進(jìn)行7段數(shù)碼管譯碼以顯示該數(shù)字,實(shí)際上我在實(shí)驗(yàn)中用的是8位信號(hào)。因?yàn)?段數(shù)碼管實(shí)際上有8個(gè)輸出信號(hào),除了7段數(shù)碼管,還有小數(shù)點(diǎn)顯示信號(hào)。我在實(shí)驗(yàn)中設(shè)置8位信號(hào)并始終置最低位為0,這樣可以使數(shù)碼管不顯示小數(shù)點(diǎn),使顯示的數(shù)字更為美觀。
6、五、仿真波形及波形分析以下是我的程序中幾個(gè)關(guān)鍵部分的仿真波形圖(由于這只是仿真,我在程序中只用了一個(gè)分頻模塊fenpin,且為10分頻,分頻后的輸出作為控制器模塊的時(shí)鐘信號(hào),數(shù)碼管共陰極的掃描頻率與外部時(shí)鐘clk的頻率一致):reset和emergency信號(hào)均為低電平時(shí)light1(南北方向交通燈)和light2(東西方向交通燈)的仿真波形圖reset信號(hào)為低電平,emergency信號(hào)為高電平時(shí)light1和light2的仿真波形圖reset信號(hào)為低電平且emergency信號(hào)由高電平降到低電平后light1和light2的仿真波形圖reset信號(hào)為高電平時(shí)light1和light2的仿真
7、波形圖reset和emergency信號(hào)均為低電平時(shí)num(七段數(shù)碼顯示管信號(hào))和cat_tmp(數(shù)碼管共陰極掃描信號(hào))的仿真波形圖reset信號(hào)為低電平,emergency信號(hào)為高電平時(shí)num和cat_tmp的仿真波形圖reset信號(hào)為低電平且emergency信號(hào)由高電平降到低電平后num和cat_tmp的仿真波形圖reset信號(hào)為高電平時(shí)num和cat_tmp的仿真波形圖對(duì)波形圖:根據(jù)狀態(tài)轉(zhuǎn)移圖,此時(shí)light1和light2的狀態(tài)應(yīng)依次由001/100à010/100à100/001à100/010à001/100這樣循環(huán)變化,波形圖中恰好反映了
8、這種變化方式。對(duì)波形圖:根據(jù)emergency信號(hào)的特點(diǎn),此時(shí)light1和light2的狀態(tài)應(yīng)該均為100,波形圖中恰好是反映了這種情況。對(duì)波形圖:根據(jù)本程序設(shè)計(jì)的思路,emergency信號(hào)由高電平變?yōu)榈碗娖綍r(shí)light1和light2應(yīng)回到emergency高電平即將要出現(xiàn)時(shí)的狀態(tài),由波形圖可知當(dāng)時(shí)light1和light2的狀態(tài)分別為001,100。由此圖也可知emergency剛由高電平變?yōu)榈碗娖綍r(shí)light1和light2的狀態(tài)仍分別為001,100。故此時(shí)light1和light2的狀態(tài)符合我的程序設(shè)計(jì)的思路。對(duì)波形圖根據(jù)reset信號(hào)的特點(diǎn),此時(shí)light1和light2應(yīng)各自
9、回到最初的狀態(tài)001,100。此時(shí)波形圖中l(wèi)ight1和light2的狀態(tài)恰好為此種狀態(tài)。對(duì)波形圖數(shù)碼管顯示信號(hào)num和數(shù)碼管共陰極掃描信號(hào)cat_tmp的變化頻率應(yīng)和時(shí)鐘信號(hào)clk的頻率一致,且cat_tmp信號(hào)變化規(guī)律為:0111111à101111à111101à111110à0111111這樣循環(huán)變化(下同),波形圖中num和cat_tmp信號(hào)變化頻率與此相符,且cat_tmp信號(hào)變化規(guī)律也與此相符數(shù)碼管的信號(hào)翻譯成數(shù)字序列即為:20252024,結(jié)合cat_tmp的變化規(guī)律可知此時(shí)數(shù)碼管實(shí)現(xiàn)了各位數(shù)字的掃描顯示。對(duì)波形圖此時(shí)num信號(hào)應(yīng)一直為0
10、,即11111100,波形圖中的num信號(hào)恰好是這種情況。對(duì)波形圖根據(jù)本程序設(shè)計(jì)的思路,emergency信號(hào)由高電平變?yōu)榈碗娖綍r(shí)num應(yīng)回到emergency高電平即將要出現(xiàn)時(shí)的狀態(tài),由波形圖可知當(dāng)時(shí)num輸出的數(shù)字序列為012025即兩數(shù)碼管應(yīng)分別顯示20,25。由此圖也可知emergency剛由高電平變?yōu)榈碗娖綍r(shí)num輸出的數(shù)字序列為20252025,即兩數(shù)碼管分別顯示20,25,符合最初我的程序設(shè)計(jì)思路。對(duì)波形圖 根據(jù)reset信號(hào)的特點(diǎn),此時(shí)num應(yīng)回到最初狀態(tài),分別顯示20和25,此時(shí)波形圖中num對(duì)應(yīng)輸出數(shù)字序列為20252025,符合reset的信號(hào)特點(diǎn)。六、源程序本實(shí)驗(yàn)的源程
11、序如下主程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity traffic isport( clk,reset,emergency:in std_logic; -定義時(shí)鐘,復(fù)位和緊急情況輸入信號(hào) light1,light2:out std_logic_vector(2 downto 0); -定義交通燈狀態(tài)輸出信號(hào) cat_tmp:out std_logic_vector(5 downto 0); -定義數(shù)碼管共陰極掃描信號(hào)
12、num:out std_logic_vector(7 downto 0); -定義數(shù)碼管輸出信號(hào)end traffic;architecture trafficlight of traffic issignal tmp_clk:std_logic;signal tmp_showclk:std_logic;signal tempcount1:std_logic_vector(5 downto 0);signal tempcount2:std_logic_vector(5 downto 0);signal tempnum:std_logic_vector(3 downto 0);signal si
13、nglenum:std_logic_vector(3 downto 0);component fenpin is -主分頻模塊port( clk:in std_logic; clk_out:out std_logic);end component;component showfenpin is -為數(shù)碼管共陰極掃描提供掃描頻率的分頻模塊port( clk:in std_logic; clk_out:out std_logic);end component;component control is -控制器模塊port(clk:in std_logic; emergency:in std_log
14、ic; reset:in std_logic; light1:out std_logic_vector(2 downto 0); light2:out std_logic_vector(2 downto 0); counter1,counter2:out std_logic_vector(5 downto 0);end component;component countdown is -計(jì)數(shù)器模塊port(clk:in std_logic; cat_tmp:out std_logic_vector(5 downto 0); numin:out std_logic_vector(3 downto
15、 0); emergency:in std_logic; reset:in std_logic; counter1,counter2:in std_logic_vector(5 downto 0);end component;component show is -數(shù)碼管顯示譯碼模塊port(num_in:in std_logic_vector(3 downto 0); num:out std_logic_vector(7 downto 0);end component;begin -將各模塊的接口連接在一起,構(gòu)成系統(tǒng)總線u1:fenpin port map(clk=>clk,clk_ou
16、t=>tmp_clk);u2:showfenpin port map(clk=>clk,clk_out=>tmp_showclk);u3:control port map(clk=>tmp_clk,emergency=>emergency,reset=>reset,counter1=>tempcount1,counter2=>tempcount2,light1=>light1,light2=>light2);u4:countdown port map(clk=>tmp_showclk,cat_tmp=>cat_tmp,co
17、unter1=>temp-count1,counter2=>tempcount2,numin=>singlenum,emergency=>emergency,reset=>re-set);u5:show port map(num_in=>singlenum,num=>num);end trafficlight;分頻模塊library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity fenpin isport( clk:in std_logic; -定義輸入時(shí)
18、鐘信號(hào) clk_out:out std_logic); -定義輸出時(shí)鐘信號(hào)end fenpin;architecture at of fenpin is signal tmp:integer range 0 to 49999999; begin p1:process(clk) begin if clk'event and clk='1' then -每50000000個(gè)時(shí)鐘周期作為一個(gè)新的周 if tmp=49999999 then tmp<=0; -期,且該周期前半部分(tmp<25000000時(shí)) else tmp<=tmp+1; -輸出為高電平,
19、后半部分輸出為高電平, end if; -從而實(shí)現(xiàn)對(duì)時(shí)鐘信號(hào)的50000000分頻 if tmp<25000000 then clk_out<='0' else clk_out<='1' end if; end if; end process p1;end at;為數(shù)碼管共陰極掃描提供掃描頻率的分頻模塊library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity showfenpin isport( clk:in std_logic; -定義輸入時(shí)
20、鐘信號(hào) clk_out:out std_logic); -定義輸出時(shí)鐘信號(hào)end showfenpin;architecture at of showfenpin is signal tmp:integer range 0 to 4999; begin -每5000個(gè)時(shí)鐘周期作為一個(gè)新的周 p1:process(clk) -期,且該周期前半部分(tmp<2500時(shí)) begin -輸出為高電平,后半部分輸出為高電平, if clk'event and clk='1' then -從而實(shí)現(xiàn)對(duì)時(shí)鐘信號(hào)的5000分頻 if tmp=4999 then tmp<=0
21、; else tmp<=tmp+1; end if; if tmp<2500 then clk_out<='0' else clk_out<='1' end if; end if; end process p1;end at;控制器模塊library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity control isport(clk:in std_logic; -定義輸入時(shí)鐘、緊急、復(fù)
22、位信號(hào) emergency:in std_logic; reset:in std_logic; light1:out std_logic_vector(2 downto 0):="001" -定義交通燈狀態(tài)信號(hào) light2:out std_logic_vector(2 downto 0):="100" counter1:out std_logic_vector(5 downto 0); -定義計(jì)數(shù)變量count1,count2 counter2:out std_logic_vector(5 downto 0);end control;architect
23、ure arch of control is signal count1:integer:=20; signal count2:integer:=25; signal templight1: std_logic_vector(2 downto 0):="001" signal templight2: std_logic_vector(2 downto 0):="100" type all_state is (s0,s1,s2,s3,s4); -定義狀態(tài)機(jī)all_state,包含s0,s1,s2,s3,s4共5 signal state:all_state
24、:=s0; -個(gè)狀態(tài),并初始化為狀態(tài)s0 signal tempstate:all_state:=s0;begin p2:process(clk,emergency,reset) begin if (reset='1') then state<=s0;count1<=20;count2<=25;light1<="001"light2<="100"templight1<="001"templight2<="100" elsif (emergency ='
25、;1') then state<=s4;light1<="100"light2<="100" elsif (clk'event and clk='1') then case state is when s0=> if count1>1 then count1<=count1-1;count2<=count2-1;tempstate<=s0; else state<=s1;tempstate<=s1;count1<=5;light1<="010
26、"light2<="100"templight1<="010"templight2<="100" end if;when s1=> if count1>1 then count1<=count1-1;count2<=count2-1;tempstate<=s1; else state<=s2;tempstate<=s2;count1<=25;count2<=20;light1<="100"light2<="001
27、"templight1<="100"templight2<="001" end if; when s2=> if count2>1 then count1<=count1-1;count2<=count2-1;tempstate<=s2; else state<=s3;tempstate<=s3;count2<=5;light1<="100"light2<="010"templight1<="100"temp
28、light2<="010" end if; when s3=> if count2>1 then count1<=count1-1;count2<=count2-1;tempstate<=s3; else state<=s0;tempstate<=s0;count1<=20;count2<=25;light1<="001"light2<="100"templight1<="001"templight2<="100"
29、; end if; when s4=> state<=tempstate;light1<=templight1;light2<=templight2; when others=> state<=s0;tempstate<=s0;count1<=20;count2<=25;light1<="001"light2<="100"templight1<="001"templight2<="100" end case; end if;end pro
30、cess p2;counter1<=conv_std_logic_vector(count1,6);counter2<=conv_std_logic_vector(count2,6);end arch;-以上一段代碼為本程序中最關(guān)鍵的一部分,它是整個(gè)程序的控制中樞。計(jì)數(shù)變-量count1和count2被初始化為20和25,狀態(tài)機(jī)被初始化為s0狀態(tài)。對(duì)于此-時(shí)的特殊輸入:reset和emergency,我給reset分配了更高的優(yōu)先級(jí),emergency-次之,即reset=1時(shí),不論其他輸入和輸出如何,系統(tǒng)回到s0狀態(tài),且count1-和count2的值回到20和25,當(dāng)reset
31、=0且emergency=1時(shí),系統(tǒng)轉(zhuǎn)入緊急狀態(tài)s4,-此時(shí)light1和light2都為100(紅燈)狀態(tài)。當(dāng)reset和emergency均為0時(shí),系統(tǒng)正常-運(yùn)行,一般情況下count1和count2在每遇到一個(gè)時(shí)鐘周期后各減1。在狀態(tài)s0中,-當(dāng)count1的值大于1時(shí)count1和count2各減1;當(dāng)count1=1時(shí),轉(zhuǎn)入s1狀態(tài),light1-和light2分別為黃燈和紅燈狀態(tài),且count1被置為5。接著在狀態(tài)s1中,當(dāng)count1-的值大于1時(shí)count1和count2各減1;當(dāng)count1=1時(shí),轉(zhuǎn)入s2狀態(tài),light1和light2-分別為紅燈和綠燈狀態(tài),且count1
32、被置為25,count2被置為20。接著在狀態(tài)s2-中,當(dāng)count2的值大于1時(shí)count1和count2各減1;當(dāng)count2=1時(shí),轉(zhuǎn)入s3狀態(tài),-light1和light2分別為紅燈和黃燈狀態(tài),且count2被置為5。接著在狀態(tài)s3中,當(dāng)-count2的值大于1時(shí)count1和count2各減1;當(dāng)count2=1時(shí),轉(zhuǎn)入s0狀態(tài)。程序就-這樣周而復(fù)始地運(yùn)行下去。為了保證在emergency信號(hào)消失后,我在程序中特地-設(shè)定了存儲(chǔ)當(dāng)前交通燈狀態(tài)的信號(hào)templight1和templight2,分別記錄light1和-light2的狀態(tài),以及存儲(chǔ)當(dāng)前狀態(tài)機(jī)狀態(tài)的信號(hào)tempstate。在e
33、mergency信號(hào)為-高電平時(shí),系統(tǒng)進(jìn)入s4狀態(tài)。在非s4狀態(tài)時(shí),每遇到一個(gè)時(shí)鐘沿,程序給-templight1和templight2分別賦以和light1和light2相同的狀態(tài),給tempstate也賦以-和state相同的狀態(tài)。這樣在狀態(tài)機(jī)狀態(tài)為s4時(shí),light1和light2以及state分別為-templight1、templight2、和tempstate的值,此時(shí)系統(tǒng)回到了緊急信號(hào)出現(xiàn)前的-狀態(tài)。計(jì)數(shù)器模塊library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_
34、logic_arith.all;entity countdown isport(clk:in std_logic; -定義輸入時(shí)鐘信號(hào) cat_tmp:out std_logic_vector(5 downto 0);-定義數(shù)碼管共陰極掃描輸出信號(hào) numin:out std_logic_vector(3 downto 0); -定義輸出數(shù)字信號(hào) emergency:in std_logic; -定義輸入緊急信號(hào) reset:in std_logic; -定義輸入復(fù)位信號(hào) counter1:in std_logic_vector(5 downto 0); -定義南北方向交通燈計(jì)數(shù)變量 coun
35、ter2:in std_logic_vector(5 downto 0); -定義東西方向交通燈計(jì)數(shù)變量end countdown;architecture arch of countdown issignal temp:integer range 0 to 3;signal count1:integer range 0 to 25;signal count2:integer range 0 to 25;signal count:integer range 0 to 25;signal num_in:std_logic_vector(3 downto 0);signal cat:std_log
36、ic_vector(5 downto 0):="011111"begin p1:process(clk)begincount1<=conv_integer(counter1); count2<=conv_integer(counter2); if(clk'event and clk='1') then if(temp=3) then temp<=0; else temp<=temp+1; -使temp實(shí)現(xiàn)03的計(jì)數(shù)功能 end if; end if;end process p1;p2:process(temp) begin c
37、ase temp is when 0=> count<=count1; -temp值變化的前半周期count被賦值count1 when 1=> count<=count1; when 2=> count<=count2; -temp值變化的前半周期count被賦值coun2 when 3=> count<=count2; end case; end process p2; p3:process(count,temp,emergency,reset) begin if(reset='0' and emergency='1&
38、#39;) then -無(wú)復(fù)位信號(hào)且有緊急信號(hào)使數(shù)碼管均顯示1 case temp is when 0=> num_in<="0000"cat<="011111" when 1=> num_in<="0000"cat<="101111" when 2=> num_in<="0000"cat<="111101" when 3=> num_in<="0000"cat<="1111
39、10" end case; else case count is when 0=>case temp is when 0=> num_in<="0000"cat<="011111" -cat為數(shù)碼管共陰極輸出信號(hào), when 1=> num_in<="0000"cat<="101111" -表示當(dāng)前時(shí)刻那個(gè)數(shù)碼管有效, when 2=> num_in<="0000"cat<="111101"-temp從0到
40、3時(shí),num_in對(duì)應(yīng) when 3=> num_in<="0000"cat<="111110"-的信號(hào)值分別為count數(shù)值的高 end case; -位、低位、高位、低位,下同。 when 1=>case temp is when 0=> num_in<="0000"cat<="011111" when 1=> num_in<="0001"cat<="101111" when 2=> num_in<=
41、"0000"cat<="111101" when 3=> num_in<="0001"cat<="111110" end case; when 2=>case temp is when 0=> num_in<="0000"cat<="011111" when 1=> num_in<="0010"cat<="101111" when 2=> num_in<=&q
42、uot;0000"cat<="111101" when 3=> num_in<="0010"cat<="111110" end case; when 3=>case temp is when 0=> num_in<="0000"cat<="011111" when 1=> num_in<="0011"cat<="101111" when 2=> num_in<=&quo
43、t;0000"cat<="111101" when 3=> num_in<="0011"cat<="111110" end case; when 4=>case temp is when 0=> num_in<="0000"cat<="011111" when 1=> num_in<="0100"cat<="101111" when 2=> num_in<="
44、0000"cat<="111101" when 3=> num_in<="0100"cat<="111110" end case; when 5=>case temp is when 0=> num_in<="0000"cat<="011111" when 1=> num_in<="0101"cat<="101111" when 2=> num_in<="00
45、00"cat<="111101" when 3=> num_in<="0101"cat<="111110" end case; when 6=>case temp is when 0=> num_in<="0000"cat<="011111" when 1=> num_in<="0110"cat<="101111" when 2=> num_in<="0000
46、"cat<="111101" when 3=> num_in<="0110"cat<="111110" end case; when 7=>case temp is when 0=> num_in<="0000"cat<="011111" when 1=> num_in<="0111"cat<="101111" when 2=> num_in<="0000&q
47、uot;cat<="111101" when 3=> num_in<="0111"cat<="111110" end case; when 8=>case temp is when 0=> num_in<="0000"cat<="011111" when 1=> num_in<="1000"cat<="101111" when 2=> num_in<="0000&quo
48、t;cat<="111101" when 3=> num_in<="1000"cat<="111110" end case; when 9=>case temp is when 0=> num_in<="0000"cat<="011111" when 1=> num_in<="1001"cat<="101111" when 2=> num_in<="0000"
49、cat<="111101" when 3=> num_in<="1001"cat<="111110" end case; when 10=>case temp is when 0=> num_in<="0001"cat<="011111" when 1=> num_in<="0000"cat<="101111" when 2=> num_in<="0001"c
50、at<="111101" when 3=> num_in<="0000"cat<="111110" end case; when 11=>case temp is when 0=> num_in<="0001"cat<="011111" when 1=> num_in<="0001"cat<="101111" when 2=> num_in<="0001"ca
51、t<="111101" when 3=> num_in<="0001"cat<="111110" end case; when 12=>case temp is when 0=> num_in<="0001"cat<="011111" when 1=> num_in<="0010"cat<="101111" when 2=> num_in<="0001"cat
52、<="111101" when 3=> num_in<="0010"cat<="111110" end case; when 13=>case temp is when 0=> num_in<="0001"cat<="011111" when 1=> num_in<="0011"cat<="101111" when 2=> num_in<="0001"cat&
53、lt;="111101" when 3=> num_in<="0011"cat<="111110" end case; when 14=>case temp is when 0=> num_in<="0001"cat<="011111" when 1=> num_in<="0100"cat<="101111" when 2=> num_in<="0001"cat&l
54、t;="111101" when 3=> num_in<="0100"cat<="111110" end case; when 15=>case temp is when 0=> num_in<="0001"cat<="011111" when 1=> num_in<="0101"cat<="101111" when 2=> num_in<="0001"cat<
55、;="111101" when 3=> num_in<="0101"cat<="111110" end case; when 16=>case temp is when 0=> num_in<="0001"cat<="011111" when 1=> num_in<="0110"cat<="101111" when 2=> num_in<="0001"cat<
56、="111101" when 3=> num_in<="0110"cat<="111110" end case; when 17=>case temp is when 0=> num_in<="0001"cat<="011111" when 1=> num_in<="0111"cat<="101111" when 2=> num_in<="0001"cat<=
57、"111101" when 3=> num_in<="0111"cat<="111110" end case; when 18=>case temp is when 0=> num_in<="0001"cat<="011111" when 1=> num_in<="1000"cat<="101111" when 2=> num_in<="0001"cat<=&
58、quot;111101" when 3=> num_in<="1000"cat<="111110" end case; when 19=>case temp is when 0=> num_in<="0001"cat<="011111" when 1=> num_in<="1001"cat<="101111" when 2=> num_in<="0001"cat<=&q
59、uot;111101" when 3=> num_in<="1001"cat<="111110" end case; when 20=>case temp is when 0=> num_in<="0010"cat<="011111" when 1=> num_in<="0000"cat<="101111" when 2=> num_in<="0010"cat<=&qu
60、ot;111101" when 3=> num_in<="0000"cat<="111110" end case; when 21=>case temp is when 0=> num_in<="0010"cat<="011111" when 1=> num_in<="0001"cat<="101111" when 2=> num_in<="0010"cat<=&quo
61、t;111101" when 3=> num_in<="0001"cat<="111110" end case; when 22=>case temp is when 0=> num_in<="0010"cat<="011111" when 1=> num_in<="0010"cat<="101111" when 2=> num_in<="0010"cat<="
62、;111101" when 3=> num_in<="0010"cat<="111110" end case; when 23=>case temp is when 0=> num_in<="0010"cat<="011111" when 1=> num_in<="0011"cat<="101111" when 2=> num_in<="0010"cat<="
63、111101" when 3=> num_in<="0011"cat<="111110" end case; when 24=>case temp is when 0=> num_in<="0010"cat<="011111" when 1=> num_in<="0100"cat<="101111" when 2=> num_in<="0010"cat<="1
64、11101" when 3=> num_in<="0100"cat<="111110" end case; when 25=>case temp is when 0=> num_in<="0010"cat<="011111" when 1=> num_in<="0101"cat<="101111" when 2=> num_in<="0010"cat<="111101" when 3=> num_in<="0101"cat<="
溫馨提示
- 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。
最新文檔
- 酒店改造合同范例范例
- 戶外汽燈租賃合同范例
- 鋪位 租賃合同范例
- 快遞員合作合同范例
- 家具買(mǎi)賣(mài)貸款合同范例
- 市政材料供應(yīng)合同范例
- 含司機(jī)租車(chē)合同范例
- 鞋面批發(fā)采購(gòu)合同范例
- 藥品合同范例格式
- 裝修固定總價(jià)合同范例
- 2024-2025學(xué)年高二上學(xué)期期末數(shù)學(xué)試卷(提高篇)(含答案)
- 2025年安全生產(chǎn)目標(biāo)實(shí)施計(jì)劃
- 福建百校2025屆高三12月聯(lián)考?xì)v史試卷(含答案解析)
- 2024年山西省建筑安全員《B證》考試題庫(kù)及答案
- 2023年益陽(yáng)市安化縣招聘鄉(xiāng)鎮(zhèn)衛(wèi)生院護(hù)理人員筆試真題
- 《基于PLC的智能交通燈控制系統(tǒng)設(shè)計(jì)》10000字(論文)
- 首都經(jīng)濟(jì)貿(mào)易大學(xué)《微積分》2021-2022學(xué)年第一學(xué)期期末試卷
- 人音版音樂(lè)七年級(jí)上冊(cè)《父親的草原母親的河》課件
- 2024年度短視頻內(nèi)容創(chuàng)作服務(wù)合同3篇
- 介入治療并發(fā)癥
- 鑄牢中華民族共同體意識(shí)-形考任務(wù)1-國(guó)開(kāi)(NMG)-參考資料
評(píng)論
0/150
提交評(píng)論