版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡(jiǎn)介
1、DSP技術(shù)與應(yīng)用課程設(shè)計(jì)報(bào)告課 題 名 稱:基于DSP Builder的FIR數(shù)字濾波器的設(shè)計(jì)與實(shí)現(xiàn)學(xué) 院: 電子信息工程學(xué)院 班 級(jí): 11級(jí)電信本01班 學(xué) 號(hào): 姓 名: 題 目 基于DSP Builder的FIR數(shù)字濾波器的設(shè)計(jì)與實(shí)現(xiàn)摘 要FIR數(shù)字濾波器是數(shù)字信號(hào)處理的一個(gè)重要組成部分,由于FIR數(shù)字濾波器具有嚴(yán)格的線性相位,因此在信息的采集和處理過(guò)程中得到了廣泛的應(yīng)用。本文介紹了FIR數(shù)字濾波器的概念和線性相位的條件,分析了窗函數(shù)法、頻率采樣法和等波紋逼近法設(shè)計(jì)FIR濾波器的思路和流程。在分析三種設(shè)計(jì)方法原理的基礎(chǔ)上,借助Matlab仿真軟件工具箱中的fir1、fir2和remez
2、子函數(shù)分別實(shí)現(xiàn)窗函數(shù)法、頻率采樣法和等波紋逼近法設(shè)計(jì)FIR濾波器。然后檢驗(yàn)濾波器的濾波效果,采用一段音頻進(jìn)行加噪聲然后用濾波器濾,對(duì)比三段音頻效果進(jìn)而對(duì)濾波器的濾波效果進(jìn)行檢驗(yàn)。仿真結(jié)果表明,在相頻特性上,三種方法設(shè)計(jì)的FIR濾波器在通帶內(nèi)都具有線性相位;在幅頻特性上,相比窗函數(shù)法和頻率采樣法,等波紋逼近法設(shè)計(jì)FIR濾波器的邊界頻率精確,通帶和阻帶衰減控制。AbstractFIR數(shù)字濾波器是數(shù)字信號(hào)處理的一個(gè)重要組成部分,由于FIR數(shù)字濾波器具有嚴(yán)格的線性相位,因此在信息的采集和處理過(guò)程中得到了廣泛的應(yīng)用。本文介紹了FIR數(shù)字濾波器的概念和線性相位的條件,分析了窗函數(shù)法、頻率采樣法和等波紋逼近
3、法設(shè)計(jì)FIR濾波器的思路和流程。在分析三種設(shè)計(jì)方法原理的基礎(chǔ)上,借助Matlab仿真軟件工具箱中的fir1、fir2和remez子函數(shù)分別實(shí)現(xiàn)窗函數(shù)法、頻率采樣法和等波紋逼近法設(shè)計(jì)FIR濾波器。然后檢驗(yàn)濾波器的濾波效果,采用一段音頻進(jìn)行加噪聲然后用濾波器濾,對(duì)比三段音頻效果進(jìn)而對(duì)濾波器的濾波效果進(jìn)行檢驗(yàn)。仿真結(jié)果表明,在相頻特性上,三種方法設(shè)計(jì)的FIR濾波器在通帶內(nèi)都具有線性相位;在幅頻特性上,相比窗函數(shù)法和頻率采樣法,等波紋逼近法FIR digital filter is an important part of digital signal processing, the FIR digi
4、tal filter with linear phase, so it has been widely applied in the collection and processing of information in the course of. This paper introduces the concept of FIR digital filter with linear phase conditions, analysis of the window function method and frequency sampling method and the ripple appr
5、oximation method of FIR filter design ideas and processes. Based on analyzing the principle of three kinds of design methods, by means of fir1, fir2 and Remez function of Matlab simulation software in the Toolbox window function method and frequency sampling method and respectively realize equirippl
6、e approximation method to design FIR filter. Then test the filtering effect of the filter, using an audio add noise and then filter, test three audio effects and comparison of filter filtering effect. Simulation results show that the phase frequency characteristic, three design methods of FIR filter
7、 with linear phase are in the pass band; the amplitude frequency characteristics, compared with the window function method and frequency sampling method, equiripple approximation method設(shè)計(jì)FIR濾波器的邊界頻率精確,通帶和阻帶衰減控制。Design of FIR filter with accurate boundary frequency, the passband and stopband attenuat
8、ion control.目 錄一、緒論1二、FIR數(shù)字濾波器原理2三、DSP Builder設(shè)計(jì)流程3四、基于DSP Builder設(shè)計(jì)FIR數(shù)字濾波器8五、課程設(shè)計(jì)心得11六、參考文獻(xiàn)12七、附錄13一、緒論隨著信息時(shí)代和數(shù)字世界的到來(lái),數(shù)字信號(hào)處理已成為當(dāng)今一門(mén)極其重要的學(xué)科和技術(shù)領(lǐng)域。數(shù)字濾波是譜分析、雷達(dá)信號(hào)處理、通信信號(hào)處理應(yīng)用中的基本處理算法。與模擬濾波相比,數(shù)字濾波有很多優(yōu)點(diǎn),例如它可以滿足濾波器對(duì)幅度和相位特性的嚴(yán)格要求,可以避免模擬濾波器無(wú)法克服的電壓漂移、溫度漂移和噪聲等問(wèn)題。用可編程DSP芯片實(shí)現(xiàn)數(shù)字濾波可通過(guò)修改濾波器的參數(shù)十分方便地改變?yōu)V波器的特性。 數(shù)字濾波器的功能
9、就是把輸入序列通過(guò)一定的運(yùn)算變換成輸出序列,因此數(shù)字濾波器的結(jié)構(gòu)系統(tǒng)中就必須包括一定數(shù)量和性能的運(yùn)算器件和運(yùn)算單元,而運(yùn)算器件和運(yùn)算單元的配置必須由數(shù)字濾波器的結(jié)構(gòu)特點(diǎn)和性能特點(diǎn)來(lái)決定。 在現(xiàn)代電子系統(tǒng)中,數(shù)字濾波器的好壞對(duì)相關(guān)的眾多工程技術(shù)領(lǐng)域影響很大,一個(gè)好的數(shù)字濾波器會(huì)有效的推動(dòng)眾多工程技術(shù)領(lǐng)域的技術(shù)改造和學(xué)科發(fā)展。數(shù)字濾波器依據(jù)沖激響應(yīng)的寬度劃分為有限沖激響應(yīng)(FIR)濾波器和無(wú)限沖激響應(yīng)濾波器(IIR)。FIR 濾波器在數(shù)字通信系統(tǒng)中被大量使用,以實(shí)現(xiàn)各種各樣的功能,諸如低通濾波、帶通濾波、抗混疊、抽樣和內(nèi)插等等。FIR數(shù)字濾波器以其良好的線性特性被廣泛使用,屬于數(shù)字信號(hào)處理的基本模
10、塊之一。它涉及的領(lǐng)域很廣,如:通信系統(tǒng)、系統(tǒng)控制、生物醫(yī)學(xué)工程、機(jī)械振動(dòng)、遙感遙測(cè)、地質(zhì)勘探、航空航天、電力系統(tǒng)、故障檢測(cè)、自動(dòng)化儀器等。與IIR數(shù)字濾波器相比,它的最大優(yōu)點(diǎn)就是可以實(shí)現(xiàn)線性相位濾波,而IIR主要是針對(duì)幅頻特性進(jìn)行逼近,相頻特性會(huì)存在不同程度的非線性。在數(shù)字通信和圖像傳輸與處理等應(yīng)用場(chǎng)合都要求濾波器具有線性相位特性。許多工程技術(shù)領(lǐng)域都涉及到信號(hào),這些信號(hào)包括電的、磁的、機(jī)械的、熱的、聲的、光的及生物體的等等。如何在較強(qiáng)的背景噪聲和干擾信號(hào)下提取出真正的信號(hào)并將其用于實(shí)際工程,這正是信號(hào)處理要研究解決的問(wèn)題。 20世紀(jì)60年代,數(shù)字信號(hào)處理理論得到迅猛發(fā)展,理論體系和框架逐漸趨于
11、成熟,到現(xiàn)在它己經(jīng)成長(zhǎng)為一門(mén)獨(dú)立的數(shù)字信號(hào)處理學(xué)科。數(shù)字濾波器它可以將輸入信號(hào)的某些頻率成分或某個(gè)頻帶進(jìn)行壓縮,放大,從而改變輸入信號(hào)的頻譜結(jié)構(gòu),因此也可以說(shuō)是個(gè)頻率選擇器。另外濾波的概念還包括對(duì)信號(hào)進(jìn)行檢測(cè)和參數(shù)估計(jì)。數(shù)字濾波是提取有用信息非常重要、非常靈活的方法,是現(xiàn)代信號(hào)處理的重要內(nèi)容。因而在數(shù)字通信、語(yǔ)音圖像處理、譜分析、模式識(shí)別、自動(dòng)控制等領(lǐng)域得到了廣泛的應(yīng)用。相對(duì)于模擬濾波器,數(shù)字濾波器沒(méi)有漂移,能夠處理低頻信號(hào),頻率響應(yīng)特性可做成非常接近于理想的特性,且精度可以達(dá)到很高,容易集成等,這些優(yōu)勢(shì)決定了數(shù)字濾波器的應(yīng)用將會(huì)越來(lái)越廣泛. 二、FIR數(shù)字濾波器原理 1.1 FIR數(shù)字濾波器
12、的基本原理和結(jié)構(gòu) 設(shè)h(n)(n=0,1,2, N一1)為濾波器的沖激響應(yīng),輸入信號(hào)為x(n),則濾波器FIR就是要實(shí)現(xiàn)差分方程: (1-1) 公式(1-1)就是FIR濾波器的差分方程。N為濾波器的階數(shù)。它的單位脈沖響應(yīng)h(n)是一個(gè)有限長(zhǎng)序列。由上面的方程可見(jiàn),F(xiàn)IR濾波算法實(shí)際上是一種乘法累加運(yùn)算,它不斷地輸入樣本x(n),經(jīng)延時(shí)(z-1),做乘法累加,再輸出濾波結(jié)果y(n)。 對(duì)公式(1-1)進(jìn)行z變換,整理后可得FIR濾波器的傳遞函數(shù)為 (1-2)從公式(1-2)可以看出,F(xiàn)IR濾波器的一般結(jié)如圖1-1所示:圖1-1 FIR濾波器的結(jié)構(gòu)圖由公式(1-1)、公式(1-2)可見(jiàn)H(z)為z
13、-1的N-1階多項(xiàng)式,它在z平面上有N-1個(gè) 零點(diǎn)并在原點(diǎn)z=0處有N-1重極點(diǎn)。故H(z)永遠(yuǎn)為穩(wěn)定系統(tǒng)。所以FIR濾波器具有如下特點(diǎn): 給h(n)附加一定條件就可以實(shí)現(xiàn)嚴(yán)格的線性相位特性; FIR濾波器的穩(wěn)定性,在設(shè)計(jì)過(guò)程中不必考慮系統(tǒng)的穩(wěn)定性問(wèn)題; 由于h(n)為有限長(zhǎng),便于采用FFT進(jìn)行系統(tǒng)運(yùn)算,運(yùn)算效率高; FIR濾波器的階數(shù)由h(n)的長(zhǎng)度決定,所以一個(gè)具有良好的幅頻特性的FIR濾波器的階數(shù)往往都比較高。 1.2 FIR數(shù)字濾波器的設(shè)計(jì)方法 使用FIR濾波器可以實(shí)現(xiàn)嚴(yán)格的線性相位特性,但其幅頻特性的設(shè)計(jì)方法與IIR濾波器完全不同。FIR濾波器的設(shè)計(jì)方法有:窗函數(shù)法、頻率采樣法、切比
14、雪夫等波紋逼近法等。FIR數(shù)字濾波器的設(shè)計(jì)思想是:在保證線性相位條件的前提下,選擇合適的h(n)長(zhǎng)度N,使其傳輸函數(shù)H(ej)滿足技術(shù)指標(biāo)要求。本文采用窗函數(shù)法設(shè)計(jì)FIR數(shù)字濾波器。3、 DSP Builder 的FIR數(shù)字濾波器設(shè)計(jì)流程使用DSP Builder可以方便地在圖形化環(huán)境中涉及FIR數(shù)字濾波器,而且濾波器系數(shù)的計(jì)算可以借助MATLAB強(qiáng)大的計(jì)算能力和現(xiàn)成的濾波器設(shè)計(jì)工具來(lái)完成。3.1 12階FIR濾波器模型設(shè)計(jì)利用設(shè)計(jì)4階FIR濾波器節(jié)可以方便地搭成4×n階直接I型FIR濾波器。比如要實(shí)現(xiàn)一個(gè)12階的低通濾波器,可以調(diào)用3個(gè)4階FIR濾波器節(jié)來(lái)實(shí)現(xiàn)。1.設(shè)計(jì)4階FIR濾
15、波器節(jié)子系統(tǒng)建立一個(gè)新的DSP Builder模型,復(fù)制FIR4tap模型到新模型。由FIR4tap模型建立子系統(tǒng),并對(duì)端口信號(hào)進(jìn)行修改,把子系統(tǒng)更名為fir4tap,如圖3.1所示.fir4tap的內(nèi)部結(jié)構(gòu)如圖3.2所示。 圖3.1 fir4tap子系統(tǒng)圖3.2 fir4tap子系統(tǒng)內(nèi)部原理圖2. 組成12階FIR濾波器模型復(fù)制3個(gè)fir4tap,并將他們銜接起來(lái)。前一級(jí)的輸出端口X4接后一級(jí)的X輸入端口,并附加上12個(gè)常數(shù)端口,作為FIR濾波器系數(shù)的輸入。把3個(gè)子系統(tǒng)fir4tap的輸出端口y連接起來(lái),接入一個(gè)3輸入端口的加法器,得到FIR濾波器的輸出yout。在做好子系統(tǒng)之后,修改其Ma
16、sk參數(shù)Mask Type為SubSystem AlteraBlockSet。設(shè)計(jì)好的12階FIR濾波器如圖3.3所示圖3.3 12階直接I型FIR濾波器模型3.2使用MATLAB的濾波器設(shè)計(jì)工具1. 濾波器指標(biāo)設(shè)計(jì)一個(gè)12階的FIR濾波器(h(0)=0),給定的參數(shù)如下:(1) 低通濾波器(2) 采樣頻率Fs32kHz ,濾波器Fc5kHz(3) 輸入位寬序列為9位在此利用MATLAB來(lái)完成FIR濾波器系數(shù)的確定。2. 打開(kāi)MATLAB的FDAToolMATLAB集成了一套功能強(qiáng)大的濾波器設(shè)計(jì)工具FDATOOL,可以完成多種濾波器的設(shè)計(jì)、分析和性能評(píng)估。點(diǎn)擊MATLAB主窗口下方的“star
17、t”按鈕,選擇“ToolBox” “Filter Design”“Filter Design &Analysis Tool”,打開(kāi)FDATool。3. 濾波器的設(shè)計(jì)和分析濾波器的設(shè)計(jì):選擇Design Filter進(jìn)入設(shè)計(jì)濾波器界面,進(jìn)行下列選擇:(1) 濾波器類型為低通;(2) 設(shè)計(jì)方法為FIR,采用窗口法;(3) 濾波器階數(shù)定制為11;(4) Fs為32kHz,F(xiàn)c為5kH。設(shè)計(jì)好以上參數(shù),點(diǎn)擊Design Filter,讓MATLAB計(jì)算FIR濾波器系數(shù)并作相關(guān)分析。濾波器分析:計(jì)算完FIR濾波器系數(shù)后,往往需要對(duì)設(shè)計(jì)好的FIR濾波器進(jìn)行相關(guān)的性能分析,以便了解該濾波器是否滿足設(shè)
18、計(jì)要求。分析操作步驟如下:選擇FDATool的菜單“Analysis”“Magnitude Response”,啟動(dòng)幅頻響應(yīng)分析。圖3.4顯示了濾波器的幅頻響應(yīng)圖圖3.4 FIR濾波器的幅頻響應(yīng)選擇“Analysis”“Phase Response”,啟動(dòng)相頻響應(yīng)分析。圖3.5顯示了濾波器的相頻響應(yīng)。圖3.5FIR濾波器的相頻響應(yīng)求出濾波器的系數(shù)可以通過(guò)選擇菜單“Analysis”“Filter Coefficients”來(lái)觀察。4. 量化FDATool計(jì)算出的值是一個(gè)有符號(hào)小數(shù),而在DSP Builder下建立的FIR濾波器模型需要一個(gè)整數(shù)作為濾波器的系數(shù)。所以必須進(jìn)行量化,并對(duì)得到的系數(shù)進(jìn)
19、行歸一化。為此,點(diǎn)擊FDATool左下側(cè)的工具按鈕進(jìn)行量化參數(shù)設(shè)置。在設(shè)置“Turn quantization”前選擇“”。濾波器的設(shè)計(jì)指標(biāo)中,已經(jīng)提到了FIR濾波器的輸入位寬為9位,表示為有符號(hào)數(shù)。5. 導(dǎo)出濾波器系數(shù)為導(dǎo)出設(shè)計(jì)好的濾波器系數(shù),選擇FDATool菜單的“File”“Export”,打開(kāi)導(dǎo)出對(duì)話框,如圖3.6所示。在該窗口中,選擇導(dǎo)出到工作區(qū)。這時(shí)濾波器系數(shù)就存入到了一個(gè)一維變量Num中,不過(guò)這時(shí)Num中的元素是以小數(shù)形式出現(xiàn)的。圖3.6導(dǎo)出系數(shù)對(duì)話框若在FIR濾波器模型中使用這些數(shù)據(jù),還需將它們轉(zhuǎn)化為整數(shù):在MATLAB主窗口的命令窗口中鍵入:Num*(29)得到:<&
20、lt;Num*(29)ANS =Columns 1through 10-196293-45-259221221292Columns 11 through 12-256. 修改FIR濾波器模型添加參數(shù)把計(jì)算機(jī)的系數(shù)逐個(gè)填入到FIR濾波器模型中,見(jiàn)圖3.7。這樣就完成了一個(gè)12階FIR低通濾波器的設(shè)計(jì)。圖3.7 12階FIR低通濾波器四、基于DSP Builder設(shè)計(jì)FIR數(shù)字濾波器1、利用DSP Builder庫(kù)建立DDS模型如圖4.1所示。圖4.1 DDS模型2、 加入激勵(lì),完成系統(tǒng)仿真如圖4.2所示。圖4.2 仿真結(jié)果3、 由Simulink模型轉(zhuǎn)成VHDL如圖4.3所示。圖4.3Simul
21、ink模型轉(zhuǎn)VHDL4.綜合如圖4.4和圖4.5。圖4.4 Quartus II綜合圖4.5 Quartus II綜合5、 利用Modelsim完成功能仿真如圖4.6。圖4.6功能仿真5、 課程設(shè)計(jì)心得通過(guò)本次課程設(shè)計(jì)自己對(duì)濾波器的設(shè)計(jì)有了初步的了解和掌握。也對(duì)MATLAB有了一定的了解。設(shè)計(jì)過(guò)程中,學(xué)習(xí)了許多數(shù)字信號(hào)處理課程中關(guān)于數(shù)字濾波器的設(shè)計(jì)的內(nèi)容,再通過(guò)利用參考文獻(xiàn)與網(wǎng)絡(luò),完成了用MATLAB進(jìn)行DSP Builder的課程設(shè)計(jì)。通過(guò)課程設(shè)計(jì),加深了對(duì)課堂抽象概念的理解,鞏固了課堂上所學(xué)的理論知識(shí)。同時(shí)掌握編程方法和解決實(shí)際問(wèn)題的技巧。MATLAB環(huán)境下可以更方便,快捷的設(shè)計(jì)出具有嚴(yán)格
22、線性相位的FIR濾波器,節(jié)省了大量的時(shí)間,且參數(shù)的修改也十分方便,還可以進(jìn)一步進(jìn)行優(yōu)化設(shè)計(jì)。通過(guò)一星期的課程設(shè)計(jì),我復(fù)習(xí)了MATLAB編程語(yǔ)言的基本概念、語(yǔ)法、語(yǔ)義和數(shù)據(jù)類型的使用特點(diǎn),加深了對(duì)課堂所學(xué)理論知識(shí)的理解,掌 握了運(yùn)用結(jié)構(gòu)化程序設(shè)計(jì)的基本思想和方法,更重要的是培養(yǎng)了自己的自學(xué)能力。 在平時(shí)的數(shù)字信號(hào)處理實(shí)驗(yàn)課中我們不少接觸MATLAB編程語(yǔ)言,但在這次編寫(xiě)程序以及調(diào)試的過(guò)程中遇到了很多困難,一次問(wèn)我通過(guò)去圖書(shū)館查找資料,請(qǐng)教同學(xué),在自己一點(diǎn)點(diǎn)改善程序,最終編寫(xiě)出一些比較完善的程序,這使我在這次課程設(shè)計(jì)中學(xué)到了很多知識(shí),使我對(duì)數(shù)字信號(hào)這門(mén)課程有了更加的了解和掌握。 在這一周的學(xué)習(xí)中的
23、學(xué)習(xí)是我對(duì)數(shù)字信號(hào)處理這門(mén)課程有了進(jìn)一步的理解。經(jīng)過(guò)查閱相關(guān)資料,逐步的掌握了濾波器的設(shè)計(jì)過(guò)程,使我加強(qiáng)了對(duì)實(shí)際問(wèn)題的動(dòng)手和思考和解決問(wèn)題的能力。但也暴露了自身的許多不足,如自主解決問(wèn)題的能力有所欠缺,這在以后學(xué)習(xí)過(guò)程中需要更好的加強(qiáng)。在這一周中每天都是忙碌的,但我覺(jué)得很充實(shí),自己學(xué)到很多東西,也加深我對(duì)數(shù)字信號(hào)處理的學(xué)習(xí)興趣。數(shù)字信號(hào)里邊的公式雖多,但理解了它、掌握了它,就會(huì)發(fā)現(xiàn)其中也是有規(guī)律可循的。這次的課程設(shè)計(jì)中培養(yǎng)了我如何去學(xué)習(xí)和掌握新知識(shí)的能力,這對(duì)以后的學(xué)習(xí)和工作都有很大的幫助。6、 參考文獻(xiàn)·1朱銘鋯,趙勇,甘泉.DSP應(yīng)用系統(tǒng)設(shè)計(jì)M.北京:電子工業(yè)出版社,2002.&
24、#160;·2程佩青.數(shù)字信號(hào)處理教程M.第2版.北京:清華大學(xué)出版社,2002. ·3樓順天,李博菡.基于MATLAB的系統(tǒng)分析與設(shè)計(jì)信號(hào)處理M.北京:西 安電子科技大學(xué)出版社,1998. ·4胡廣書(shū).數(shù)字信號(hào)處理理論、算法與實(shí)現(xiàn)M.北京:清華大學(xué)出版 社,1997.·5伍小芹,吳秋麗.FIR數(shù)字濾波器在DSP上的實(shí)現(xiàn)J.現(xiàn)代電子技 術(shù),2007(1):85-87. ·6朱銘鋯,趙勇,甘泉,等.DSP應(yīng)用系統(tǒng)設(shè)計(jì)M.北京:電子工業(yè)出版社,2002. ·8程佩青.數(shù)字信號(hào)處理教程M.2版.北京:清華大學(xué)出版社,20
25、02. 7、 附錄實(shí)驗(yàn)清單:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_signed.all;library dspbuilder;use dspbuilder.dspbuilderblock.all;library lpm;use lpm.lpm_components.all;Entity Subsystem2 isPort(clock:in std_logic;sclr :in std_logic:='0'hn1:in std_logic_vector(11 downto 0);hn2:in std
26、_logic_vector(11 downto 0);hn3:in std_logic_vector(11 downto 0);hn4:in std_logic_vector(11 downto 0);xin:in std_logic_vector(11 downto 0);xn4:out std_logic_vector(11 downto 0);yn:out std_logic_vector(19 downto 0);end Subsystem2;architecture aDspBuilder of Subsystem2 issignalSAynO:std_logic_vector(19
27、 downto 0);signalA0W:std_logic_vector(11 downto 0);signalA1W:std_logic_vector(11 downto 0);signalA2W:std_logic_vector(11 downto 0);signalA3W:std_logic_vector(11 downto 0);signalA4W:std_logic_vector(11 downto 0);signalA5W:std_logic_vector(11 downto 0);signalA6W:std_logic_vector(11 downto 0);signalA7W
28、:std_logic_vector(11 downto 0);signalA8W:std_logic_vector(11 downto 0);signalA9W:std_logic_vector(23 downto 0);signalA10W:std_logic_vector(23 downto 0);signalA11W:std_logic_vector(23 downto 0);signalA12W:std_logic_vector(23 downto 0);signalA13W:std_logic_vector(25 downto 0);signal p15A0L0Add:std_log
29、ic_vector(23 downto 0);signal p15B0L0Add:std_logic_vector(23 downto 0);signal p15A1L0Add:std_logic_vector(23 downto 0);signal p15B1L0Add:std_logic_vector(23 downto 0);signal p15A0L1Add:std_logic_vector(24 downto 0);signal p15B0L1Add:std_logic_vector(24 downto 0);Beginassert (1<0) report altversio
30、n severity Note;- Output - I/O assignment from Simulink Block "xn4"xn4<=A8W;yn<=SAynO;- Input - I/O assignment from Simulink Block "hn1"A0W <= hn1;- Input - I/O assignment from Simulink Block "hn2"A1W <= hn2;- Input - I/O assignment from Simulink Block "
31、;hn3"A2W <= hn3;- Input - I/O assignment from Simulink Block "hn4"A3W <= hn4;- Input - I/O assignment from Simulink Block "xin"A4W <= xin;- Sum Operator - Simulink Block "ParallelAdderSubtractor"p15A0L0Add<=A9W;p15B0L0Add<=A10W;p15A1L0Add<=A11W;p1
32、5B1L0Add<=A12W;- Output - I/O assignment from Simulink Block "yn"yni : SBF generic map(width_inl=>26,width_inr=>0,width_outl=>20,width_outr=>0,lpm_signed=>BusIsSigned,round=>0,satur=>0)port map (xin=>A13W,yout=>SAynO);- Delay Element - Simulink Block "Del
33、ay"Delayi : SDelaygeneric map (LPM_WIDTH => 12,LPM_DELAY => 1,SequenceLength => 1,SequenceValue => 1)port map (dataa=>A4W,clock=>clock,ena =>'1',sclr =>sclr,result=>A5W);- Delay Element - Simulink Block "Delay1"Delay1i : SDelaygeneric map (LPM_WIDTH
34、=> 12,LPM_DELAY => 1,SequenceLength => 1,SequenceValue => 1)port map (dataa=>A5W,clock=>clock,ena =>'1',sclr =>sclr,result=>A6W);- Delay Element - Simulink Block "Delay2"Delay2i : SDelaygeneric map (LPM_WIDTH => 12,LPM_DELAY => 1,SequenceLength =>
35、; 1,SequenceValue => 1)port map (dataa=>A6W,clock=>clock,ena =>'1',sclr =>sclr,result=>A7W);- Delay Element - Simulink Block "Delay3"Delay3i : SDelaygeneric map (LPM_WIDTH => 12,LPM_DELAY => 1,SequenceLength => 1,SequenceValue => 1)port map (dataa=>
36、A7W,clock=>clock,ena =>'1',sclr =>sclr,result=>A8W);- Product Operator - Simulink Block "Product"Producti : AltiMult generic map (LPM_WIDTHA=>12,LPM_WIDTHB=>12,PIPELINE=>2,one_input=>0,lpm =>0,lpm_hint=>"UNUSED",cst_val =>"00000000000
37、0",SequenceLength=>1,SequenceValue=>1,dspb_widthr=>24)port map (DATAA=>A5W,DATAB=>A0W,clock=>clock,ena =>'1',sclr =>sclr,result=>A9W);- Product Operator - Simulink Block "Product1"Product1i : AltiMult generic map (LPM_WIDTHA=>12,LPM_WIDTHB=>12,
38、PIPELINE=>2,one_input=>0,lpm =>0,lpm_hint=>"UNUSED",cst_val =>"000000000000",SequenceLength=>1,SequenceValue=>1,dspb_widthr=>24)port map (DATAA=>A6W,DATAB=>A1W,clock=>clock,ena =>'1',sclr =>sclr,result=>A10W);- Product Operator -
39、Simulink Block "Product2"Product2i : AltiMult generic map (LPM_WIDTHA=>12,LPM_WIDTHB=>12,PIPELINE=>2,one_input=>0,lpm =>0,lpm_hint=>"UNUSED",cst_val =>"000000000000",SequenceLength=>1,SequenceValue=>1,dspb_widthr=>24)port map (DATAA=>A7W,DATAB=>A2W,clock=>clock,ena =>'1',s
溫馨提示
- 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。
最新文檔
- 課題申報(bào)參考:明清“賽會(huì)”戲劇研究
- 2025年度面包磚施工竣工驗(yàn)收合同4篇
- 二零二五版路燈廣告廣告效果分析與反饋合同4篇
- 2025年個(gè)人對(duì)公司農(nóng)業(yè)項(xiàng)目借款合同模板2篇
- 主持人培訓(xùn)課件7
- 二零二五版路燈照明設(shè)施智能化升級(jí)與維護(hù)合同4篇
- 2025年度二零二五年度木材產(chǎn)品出口退稅合同范本2篇
- 2025年度農(nóng)業(yè)資源調(diào)查與評(píng)估技術(shù)服務(wù)合同4篇
- 2025年度新能源電梯項(xiàng)目設(shè)計(jì)與安裝一體化合同3篇
- 2025年度綠色生態(tài)魚(yú)塘承包經(jīng)營(yíng)合同書(shū)4篇
- 蛋糕店服務(wù)員勞動(dòng)合同
- 土地買(mǎi)賣(mài)合同參考模板
- 2025高考數(shù)學(xué)二輪復(fù)習(xí)-專題一-微專題10-同構(gòu)函數(shù)問(wèn)題-專項(xiàng)訓(xùn)練【含答案】
- 新能源行業(yè)市場(chǎng)分析報(bào)告
- 2025年天津市政建設(shè)集團(tuán)招聘筆試參考題庫(kù)含答案解析
- 巖土工程勘察.課件
- 60歲以上務(wù)工免責(zé)協(xié)議書(shū)
- 康復(fù)醫(yī)院患者隱私保護(hù)管理制度
- 2022年7月2日江蘇事業(yè)單位統(tǒng)考《綜合知識(shí)和能力素質(zhì)》(管理崗)
- 沈陽(yáng)理工大學(xué)《數(shù)》2022-2023學(xué)年第一學(xué)期期末試卷
- 初一英語(yǔ)語(yǔ)法練習(xí)
評(píng)論
0/150
提交評(píng)論