版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)
文檔簡介
1、實驗5數(shù)碼管顯示設(shè)計數(shù)碼管顯示設(shè)計一、實驗內(nèi)容與要求一、實驗內(nèi)容與要求u給出給出VHDLVHDL設(shè)計程序,進(jìn)行編輯,編譯,綜合,適配,仿設(shè)計程序,進(jìn)行編輯,編譯,綜合,適配,仿真,給出仿真波形,進(jìn)行硬件測試。真,給出仿真波形,進(jìn)行硬件測試。u擴(kuò)展:實現(xiàn)在擴(kuò)展:實現(xiàn)在8 8個數(shù)碼管上同時顯示同學(xué)們的學(xué)號(后個數(shù)碼管上同時顯示同學(xué)們的學(xué)號(后8 8位,位,如如20092150192009215019)u從左到右一次顯示為從左到右一次顯示為1212215019215019,完成老師給定的任意字符,完成老師給定的任意字符的實現(xiàn),回答老師部分問題的實現(xiàn),回答老師部分問題二、實驗?zāi)康亩?、實驗?zāi)康模? 1)
2、學(xué)習(xí)順序描述語句)學(xué)習(xí)順序描述語句casecase的使用方法的使用方法(2 2)學(xué)習(xí))學(xué)習(xí)7 7段數(shù)碼顯示譯碼的設(shè)計段數(shù)碼顯示譯碼的設(shè)計(3 3)學(xué)習(xí)硬件掃描電路的設(shè)計(動態(tài)掃描與靜)學(xué)習(xí)硬件掃描電路的設(shè)計(動態(tài)掃描與靜態(tài)掃描)態(tài)掃描)三三、背景知識背景知識(1 1)數(shù)碼管原理)數(shù)碼管原理 (a a)引腳圖)引腳圖 b b)共陰極)共陰極 (c c)共陽極)共陽極 圖圖2-5-12-5-1。表2-5-1 數(shù)碼管顯示編碼顯示顯示dpdpg gf fe ed dc cb ba a十六進(jìn)制十六進(jìn)制0 00 00 01 11 11 11 11 11 13f3f1 10 00 00 00 00 01 1
3、1 10 006062 20 01 10 01 11 10 01 11 15b5b3 30 01 10 00 01 11 11 11 14f4f4 40 01 11 10 00 01 11 10 066665 50 01 10 01 11 11 10 01 16d6d6 60 01 11 11 11 11 10 01 17d7d7 70 00 00 00 00 01 11 11 107078 80 01 11 11 11 11 11 11 17f7f9 90 01 10 01 11 11 11 11 16f6fA A0 01 11 11 10 01 11 11 17777B B0 01 11
4、11 11 11 10 00 07c7cC C0 00 01 11 11 10 00 01 13939D D0 01 10 01 11 11 11 10 05e5eE E0 01 11 11 11 10 00 01 17979F F0 01 11 11 10 00 00 01 17171(2 2)動態(tài)顯示)動態(tài)顯示所有數(shù)碼管的所有數(shù)碼管的8 8個顯示筆劃的同名端連在一起,另外個顯示筆劃的同名端連在一起,另外為每個數(shù)碼管的公共極為每個數(shù)碼管的公共極COMCOM增加增加位選通控制電路位選通控制電路,位,位選通由各自獨立的選通由各自獨立的I/OI/O線控制。如有線控制。如有8 8個數(shù)碼管,則一個數(shù)碼
5、管,則一共需要共需要1616個個I/OI/O口(口(8 8個段選、個段選、8 8個位選),其中個位選),其中k1k1k8k8是位選信號。當(dāng)輸出字形碼時,所有數(shù)碼管都接收到是位選信號。當(dāng)輸出字形碼時,所有數(shù)碼管都接收到相同的字形碼,但究竟是哪個數(shù)碼管會顯示出字形,相同的字形碼,但究竟是哪個數(shù)碼管會顯示出字形,取決于對位選通取決于對位選通COMCOM端電路的控制,所以我們端電路的控制,所以我們只要將只要將需要顯示的數(shù)碼管的選通控制打開(以共陰數(shù)目管為需要顯示的數(shù)碼管的選通控制打開(以共陰數(shù)目管為例,低電平選中相應(yīng)數(shù)碼管),該位就顯示出字形,例,低電平選中相應(yīng)數(shù)碼管),該位就顯示出字形,沒有選通的數(shù)
6、碼管就不會亮。沒有選通的數(shù)碼管就不會亮。通過分時輪流控制各個數(shù)碼管的的通過分時輪流控制各個數(shù)碼管的的COMCOM端,就使各個端,就使各個數(shù)碼管輪流受控顯示,這就是動態(tài)驅(qū)動。數(shù)碼管輪流受控顯示,這就是動態(tài)驅(qū)動。背景知識背景知識所謂所謂動態(tài)掃描顯示動態(tài)掃描顯示即輪流向各位數(shù)碼管送出字即輪流向各位數(shù)碼管送出字型碼,盡管實際上各位數(shù)碼管并非同時點亮,型碼,盡管實際上各位數(shù)碼管并非同時點亮,但只要掃描的速度足夠快,利用發(fā)光二極管的但只要掃描的速度足夠快,利用發(fā)光二極管的余輝和人眼視覺暫留作用,使人感覺各位數(shù)碼余輝和人眼視覺暫留作用,使人感覺各位數(shù)碼管同時在顯示。動態(tài)顯示的亮度比靜態(tài)顯示要管同時在顯示。動
7、態(tài)顯示的亮度比靜態(tài)顯示要差一些,但是能夠節(jié)省大量的差一些,但是能夠節(jié)省大量的I/OI/O端口,而且端口,而且功耗更低。功耗更低。8位數(shù)碼動態(tài)掃描顯示電路四.實驗方案觀察模式觀察模式5電路,發(fā)現(xiàn)電路,發(fā)現(xiàn)8位數(shù)碼管的段選線是連在一起的,同時有位數(shù)碼管的段選線是連在一起的,同時有8個位選線,個位選線,因此我們只能采用動態(tài)掃描的顯示形式。因此我們只能采用動態(tài)掃描的顯示形式。【例【例2-5-1】 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity scan is port( clk:in
8、std_logic; -動態(tài)掃描頻率動態(tài)掃描頻率 seg:out std_logic_vector(6 downto 0); -段信號控制輸出段信號控制輸出 dig:out std_logic_vector(7 downto 0); -位控制信號輸出位控制信號輸出end;architecture one of scan is signal ain: integer range 0 to 15; signal abc: std_logic_vector(2 downto 0); beginp0:process(clk) begin if clkevent and clk=1 then abcdi
9、g=11111110;aindig=11111101;aindig=11111011;aindig=11110111;aindig=11101111;aindig=11011111;aindig=10111111;aindig=01111111;ainnull; end case; end process p1;提問:(1)從左到右顯示是什么?(2)當(dāng)abc的數(shù)值不同時,dig的值與這個順序相反會怎樣?(3)若ain的值放不同的數(shù)字會怎樣?p2:process(ain) -譯碼電路譯碼電路 begin case ain is when 0= seg seg seg seg seg seg se
10、g seg seg seg seg seg seg seg seg segnull; end case; end process p2;end;五.實驗步驟與結(jié)果波形仿真正確后,進(jìn)行硬件驗證。請同學(xué)們自行查找確認(rèn)引腳號。 CLK1 1PIN3838PIO23SEG0nINT0PIN71PIO24SEG1SEG_D0PIN72PIO25SEG2SEG_D1PIN73PIO26SEG3SEG_D2PIN74PIO27SEG4SEG_D3PIN75PIO28SEG5RS0RS1DIG_D0PIN76PIO29SEG6RW0RW1DIG_D1PIN77PIO30SEG7E1602E12864DIG_D
11、2PIN79PIO31DIG0DB0DB0ADB0PIN80PIO32DIG1DB1DB1ADB1PIN83PIO33DIG2DB2DB2ADB2PIN84PIO34DIG3DB3DB3ADB3PIN85PIO35DIG4DB4DB4ADB4PIN4PIO36DIG5DB5DB5ADB5PIN3PIO37DIG6DB6DB6ADB6PIN2PIO38DIG7DB7DB7ADB7PIN1數(shù)碼管的掃描頻率需要在適當(dāng)?shù)姆秶鷥?nèi):頻率過低,則不能滿足同時顯示的要求;頻率過高,則會使數(shù)碼管在顯示中有殘留,幾位相互影響。一般而言,選擇幾十選擇幾十KHz的頻率的頻率作為掃描頻率比較恰當(dāng)作為掃描頻率比較恰當(dāng)。觀
12、察:掃描頻率在幾HZ和幾十KHZ的時候的現(xiàn)象;SEG7-DP;SEG0-a;DIG7-左第一個數(shù)碼管;DIG0-右第一個數(shù)碼管六.檢查1.波形仿真,會講2.硬件驗證,操作及講解3.TOOLS-NETLIST VIEWS-觀察RTL VIEWS(布局布線前產(chǎn)生,不是設(shè)計的最終電路結(jié)構(gòu));TECHNOLOGY MAP VIEWS(POST MAP);TECHNOLOGY MAP VIEWS區(qū)別,會講得出;4.思考本設(shè)計所占用器件的資源,能回答出老師提問;七.實驗引申(1 1)在例)在例2-5-12-5-1的基礎(chǔ)上,修改程序,實現(xiàn)在的基礎(chǔ)上,修改程序,實現(xiàn)在8 8個數(shù)碼管上同時個數(shù)碼管上同時/ /輪
13、輪流顯示同學(xué)們的學(xué)號或生日或任意字符(左到右或從右到左)!流顯示同學(xué)們的學(xué)號或生日或任意字符(左到右或從右到左)?。? 2)設(shè)計一個模)設(shè)計一個模2424的計數(shù)器,在數(shù)碼管上顯示計數(shù)過程和結(jié)果。的計數(shù)器,在數(shù)碼管上顯示計數(shù)過程和結(jié)果。 提示:首先構(gòu)成模提示:首先構(gòu)成模2424的十進(jìn)制計數(shù)器,將計數(shù)結(jié)果按照個位的十進(jìn)制計數(shù)器,將計數(shù)結(jié)果按照個位cnt1cnt1和十位和十位cnt2cnt2分開保存。然后采用動態(tài)掃描顯示,設(shè)置數(shù)碼管位分開保存。然后采用動態(tài)掃描顯示,設(shè)置數(shù)碼管位選信號選信號digdig,掃描第一位數(shù)碼管時(,掃描第一位數(shù)碼管時(dig=11111110dig=11111110),將個位數(shù)),將個位數(shù)據(jù)賦值給信號據(jù)賦值給信號datadata(data=cnt1data=cnt1);掃描第二位數(shù)碼管時();掃描第二位數(shù)碼管時(dig=11111101dig=11111101),將十位數(shù)據(jù)賦值給信號)
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 北京市教育合同糾紛仲裁收費標(biāo)準(zhǔn)
- 金鷹頒獎禮儀培訓(xùn)方案
- 滅火與應(yīng)急疏散培訓(xùn)
- 重慶市第九十四初級中學(xué)校2024-2025學(xué)年高二上學(xué)期期中考試政治試題(含解析)
- 19 C光的干涉 提升版2025新課改-高中物理-選修第1冊(21講)
- 海洋水文氣象自動觀測系統(tǒng)相關(guān)項目投資計劃書范本
- 肝功能評定及肝功能儲備課件
- 規(guī)劃設(shè)計類答辯
- 碘缺乏病診治指南
- 跨境物流行業(yè)發(fā)展趨勢報告
- ASTMA194-A194M-17中文版
- 二氧化碳的性質(zhì)說課(1)ppt課件
- 音樂教師述職報告
- 英語語法入門筆記(崔榮容-)(共43頁)
- LS風(fēng)險矩陣評價準(zhǔn)則(3頁)
- 統(tǒng)編部編版四年級上冊道德與法治第四單元測試卷(含答案)
- 小學(xué)生拼音格(可直接打?。?/a>
- NBC一體式系列氣體保護(hù)焊機(jī)說明書凱爾達(dá)
- 機(jī)房維護(hù)表格-運維部
- 《森林報》導(dǎo)讀(課堂PPT)
- 安全標(biāo)識中英文可直接打印
評論
0/150
提交評論