版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡(jiǎn)介
1、 基于vhdl交通燈設(shè)計(jì)實(shí)驗(yàn)報(bào)告 學(xué) 院 名 稱:班 級(jí):姓 名: 2011年 1 月 目 錄序言··········································
2、;············3一 實(shí)驗(yàn)?zāi)康?#183;···································
3、3;················3二 實(shí)驗(yàn)要求································
4、83;················3三 實(shí)驗(yàn)步驟································
5、183;···············4四 實(shí)驗(yàn)設(shè)計(jì)·································&
6、#183;············44.1 端口描述模塊···································
7、183;·······44.2 計(jì)數(shù)模塊的設(shè)計(jì)········································
8、183;54.3 控制模塊的設(shè)計(jì)·········································5 4.3.1 時(shí)間狀態(tài)的轉(zhuǎn)換····
9、;························5 4.3.1 信號(hào)燈的狀態(tài)顯示·······················&
10、#183;··65、 實(shí)驗(yàn)電路波形圖·······································86、 實(shí)驗(yàn)心得·····
11、········································9參考文獻(xiàn)·········&
12、#183;·······································10附錄·········
13、83;···········································10序言vhdl的英文全寫是:vhsic(very high speed in
14、tegrated circuit)hardware description language.翻譯成中文就是超高速集成電路硬件描述語言。因此它的應(yīng)用主要是應(yīng)用在數(shù)字電路的設(shè)計(jì)中。vhdl主要用于描述數(shù)字系統(tǒng)的結(jié)構(gòu),行為,功能和接口vhdl的程序結(jié)構(gòu)特點(diǎn)是將一項(xiàng)工程設(shè)計(jì),或稱設(shè)計(jì)實(shí)體(可以是一個(gè)元件,一個(gè)電路模塊或一個(gè)系統(tǒng))分成外部(或稱可視部分,及端口)和內(nèi)部(或稱不可視部分),既涉及實(shí)體的內(nèi)部功能和算法完成部分。 隨著基于vhdl的eda技術(shù)的發(fā)展和應(yīng)用領(lǐng)域的擴(kuò)大與深入,eda技術(shù)在電子信息、通信、自動(dòng)控制用計(jì)算機(jī)等領(lǐng)域的重要性日益突出。作為一個(gè)學(xué)電子信息專業(yè)的學(xué)生,我們必須不斷地了解更多的
15、新產(chǎn)品信息,這就更加要求我們對(duì)eda有個(gè)全面的認(rèn)識(shí)。本程序設(shè)計(jì)的是交通燈的設(shè)計(jì)。采用eda作為開發(fā)工具,vhdl語言為硬件描述語言,quartusii作為程序運(yùn)行平臺(tái),所開發(fā)的程序通過調(diào)試運(yùn)行、波形仿真驗(yàn)證,初步實(shí)現(xiàn)了設(shè)計(jì)目標(biāo)在一個(gè)交通繁忙的十字路口,沒有交通燈來控制來往車輛和行人的通行,假設(shè)也沒有交警,那會(huì)發(fā)生什么事情呢?后果是難以想象的,可能會(huì)陷入一片混亂,甚至癱瘓。當(dāng)然我們每個(gè)人都不希望這樣。我們作為社會(huì)的一員,每人都有責(zé)任為它的更加先進(jìn)和快捷做出力所能及的事情。我們?cè)O(shè)計(jì)的這個(gè)信號(hào)控制系統(tǒng)可以通過交通燈控制東西方向車道和南北方向車道兩條交叉道路上的車輛交替運(yùn)行,每次通行時(shí)間都可以根據(jù)實(shí)際
16、情況預(yù)設(shè),用以減少交通事故的發(fā)生概率。并且經(jīng)過些次實(shí)驗(yàn)使得我們對(duì)電子技術(shù)課程內(nèi)容的理解和掌握有了更深一層的認(rèn)識(shí),掌握電子電路的基本分析方法和設(shè)計(jì)方法。一、實(shí)驗(yàn)?zāi)康?設(shè)計(jì)一個(gè)基于eda的十字路口交通控制器,假設(shè)南北方向和東西方向,兩個(gè)方向分別設(shè)置紅燈、綠燈、黃燈,每個(gè)方向設(shè)置一組倒計(jì)時(shí)顯示器,用以指揮車輛和行人有序的通行。紅燈亮表示直行車輛禁行;綠燈亮表示直行車輛可以通行;黃燈亮表示直行車輛即將禁行;.二、 實(shí)驗(yàn)要求 在十字路口南北和東西兩個(gè)方向各設(shè)一組紅燈、綠燈、黃燈。顯示順序:綠燈 黃燈 紅燈 黃燈 紅燈。南北方向綠燈、黃燈和紅燈顯示時(shí)間分別是40*tclk、5*tclk,南北方向紅燈顯示時(shí)
17、間為東西方向黃綠燈顯示時(shí)間的總和;東西方向綠燈、黃燈和紅燈顯示時(shí)間亦為40*tclk、5*tclk,東西方向紅燈顯示時(shí)間為南北方向黃綠燈顯示時(shí)間的總和。(tclk為時(shí)鐘周期)3、 實(shí)驗(yàn)步驟 在vhdl設(shè)計(jì)描述中,采用自頂向下的設(shè)計(jì)思路,該思路,首先要描述頂層的接口,上面的描述已經(jīng)規(guī)定了交通燈控制的外部時(shí)鐘信號(hào)clk,輸出信號(hào):east_green_led;east_yellow_led ;east_red_led;south_green_led ;south_yellow_led;south_red_led。在自頂向下的vhdl設(shè)計(jì)描述中,通常把整個(gè)設(shè)計(jì)的系統(tǒng)劃分為幾個(gè)模塊,然后采用結(jié)構(gòu)描述方
18、式對(duì)整個(gè)系統(tǒng)進(jìn)行描述。根據(jù)實(shí)驗(yàn)設(shè)計(jì)的結(jié)構(gòu)功能,來確定使用哪些模塊以及這些模塊之間的關(guān)系。通過上面的分析,不難得知可以把交通燈控制系統(tǒng)劃分為3個(gè)模塊:端口描述模塊,計(jì)數(shù)模塊,控制模塊。端口描述模塊:定義實(shí)驗(yàn)要求的輸入輸出信號(hào)和燈亮?xí)r間; 計(jì)數(shù)器模塊:這里需要的計(jì)數(shù)器的計(jì)數(shù)范圍為0150。計(jì)到150后,下一個(gè)時(shí)鐘沿升為1時(shí),開始下一輪計(jì)數(shù)0??刂颇K:通過計(jì)數(shù)器的計(jì)數(shù)控制東西南北方向燈的亮滅。4、 實(shí)驗(yàn)設(shè)計(jì):4.1 端口描述模塊實(shí)體:entity jiaotongdeng is generic(-定義燈亮的時(shí)間 east_green_cnt:integer:=40;-東西方向主干道綠燈 east_
19、yellow_cnt:integer:=5;-東西方向主干道黃燈 south_green_cnt:integer:=40;-南北方向支干道綠燈 south_yellow_cnt:integer:=5);-南北方向支干道黃燈 port(clk:in std_logic; east_green_led:out std_logic; east_yellow_led:out std_logic; east_red_led:out std_logic; south_green_led:out std_logic; south_yellow_led:out std_logic; south_red_led
20、:out std_logic);end jiaotongdeng;功能:實(shí)現(xiàn)輸出端口、外部時(shí)鐘的定義4.2 計(jì)數(shù)模塊的設(shè)計(jì)signal cnt:integer range 0 to 150;.if clk'event and clk='1' then if enable_cnt='1' then cnt<=cnt+1; else cnt<=1; end if; 功能:實(shí)現(xiàn)0到150的計(jì)數(shù)4.3 控制模塊的設(shè)計(jì)4.3.1 時(shí)間狀態(tài)的轉(zhuǎn)換u1:process(clk)-信號(hào)燈的時(shí)間狀態(tài)的轉(zhuǎn)換beginif clk'event and cl
21、k='1' then if enable_cnt='1' then cnt<=cnt+1; else cnt<=1; end if; case state is when s0=> if (cnt=east_green_cnt)then state<=s1; else state<=s0; end if; when s1=> if (cnt=east_yellow_cnt)then state<=s2; else state<=s1; end if; when s2=> if(cnt=south_green_
22、cnt)then state<=s3; else state<=s2; end if; when s3=> if (cnt=south_yellow_cnt)then state<=s0; else state<=s3; end if; when s4=> end case; end if;end process u1;4.3.2信號(hào)燈的狀態(tài)顯示u2:process(state)-信號(hào)燈的狀態(tài)顯示begin case state is when s0=> east_green_led<='1' east_yellow_led<
23、;='0' east_red_led<='0' south_green_led<='0' south_yellow_led<='0' south_red_led<='1' enable_cnt<='1' if(cnt=east_green_cnt)then enable_cnt<='0'-已達(dá)到東西方向綠燈亮?xí)r間,暫停計(jì)數(shù) end if; when s1=> east_green_led<='0' east_yellow
24、_led<='1' east_red_led<='0' south_green_led<='0' south_yellow_led<='0' south_red_led<='1' enable_cnt<='1' if(cnt=east_yellow_cnt)then enable_cnt<='0' end if; when s2=> east_green_led<='0' east_yellow_led<=&
25、#39;0' east_red_led<='1' south_green_led<='1' south_yellow_led<='0' south_red_led<='0' enable_cnt<='1' if(cnt=south_green_cnt)then enable_cnt<='0' end if; when s3=> east_green_led<='0' east_yellow_led<='0'
26、 east_red_led<='1' south_green_led<='0' south_yellow_led<='1' south_red_led<='0' enable_cnt<='1' if(cnt=south_yellow_cnt)then enable_cnt<='0' end if; when s4=> east_green_led<='0' east_yellow_led<='0' east_red
27、_led<='1' south_green_led<='0' south_yellow_led<='0' south_red_led<='1' enable_cnt<='1' end case;end process u2;end ex;五、 實(shí)驗(yàn)電路波形圖 六、實(shí)驗(yàn)心得課程設(shè)計(jì)對(duì)學(xué)生而言是其對(duì)所學(xué)課程內(nèi)容掌握情況的一次自我驗(yàn)證,從而有著極其重要的意義。通過課程設(shè)計(jì)能提高學(xué)生對(duì)所學(xué)知識(shí)的綜合應(yīng)用能力,能全面檢查并掌握所學(xué)內(nèi)容,本學(xué)期我們進(jìn)行了數(shù)字電路課程設(shè)計(jì),老師命題,兩人一小組進(jìn)行設(shè)
28、計(jì)。趣味性強(qiáng),同時(shí)也可以學(xué)到很多東西。我們做的是交通燈控制器的設(shè)計(jì)。在這學(xué)期的課程設(shè)計(jì)中,在收獲知識(shí)的同時(shí),還收獲了閱歷,收獲了成熟,在此過程中,我們通過查找大量資料,請(qǐng)教老師,以及不懈的努力,不僅培養(yǎng)了獨(dú)立思考、動(dòng)手操作的能力,在各種其它能力上也都有了提高。更重要的是,我們學(xué)會(huì)了很多學(xué)習(xí)的方法。而這是日后最實(shí)用的,真的是受益匪淺。要面對(duì)社會(huì)的挑戰(zhàn),只有不斷的學(xué)習(xí)、實(shí)踐,再學(xué)習(xí)、再實(shí)踐。通過這個(gè)程序設(shè)計(jì)讓我學(xué)會(huì)一種新的語言,對(duì)數(shù)字系統(tǒng)結(jié)構(gòu)也有了更進(jìn)一步的了解和認(rèn)識(shí),對(duì)我以后的學(xué)習(xí)有很大的幫助。希望其他人在看再做類似設(shè)計(jì)時(shí)有所借鑒。通過幾天的課程設(shè)計(jì),我對(duì)數(shù)據(jù)庫軟件eda技術(shù)、vhdl、等系列知
29、識(shí)都有了一定的了解。使用eda技術(shù)開發(fā)頁面的能力也有了很大提高。另外,我還學(xué)到了一個(gè)人的力量畢竟有限,但是團(tuán)隊(duì)的力量勢(shì)不可擋,我們不能只靠自己,遇到不會(huì)的要多多求助同學(xué), 這次課程設(shè)計(jì)雖然結(jié)束了,也留下了很多遺憾,因?yàn)橛捎跁r(shí)間的緊缺和許多課業(yè)的繁忙,并沒有做到最好,但是,最起碼我們沒有放棄,它是我們的驕傲!相信以后我們會(huì)以更加積極地態(tài)度對(duì)待我們的學(xué)習(xí)、對(duì)待我們的生活。我們的激情永遠(yuǎn)不會(huì)結(jié)束,相反,我們會(huì)更加努力,努力的去彌補(bǔ)自己的缺點(diǎn),發(fā)展自己的優(yōu)點(diǎn),去充實(shí)自己,只有在了解了自己的長(zhǎng)短之后,我們會(huì)更加珍惜擁有的,更加努力的去完善它,增進(jìn)它。只有不斷的測(cè)試自己,挑戰(zhàn)自己,才能擁有更多的成功和快樂
30、!快樂至上,享受過程,而不是結(jié)果!認(rèn)真對(duì)待每一個(gè)實(shí)驗(yàn),珍惜每一分一秒,學(xué)到最多的知識(shí)和方法,鍛煉自己的能力,這個(gè)是我們?cè)趯?shí)時(shí)測(cè)量技術(shù)試驗(yàn)上學(xué)到的最重要的東西,也是以后都將受益匪淺的! 參考文獻(xiàn)1、 vhdl設(shè)計(jì)初步.王虹現(xiàn)2、vhdl 程序設(shè)計(jì)(第二版). 曾繁泰等. 清華大學(xué)出版社 附錄 總程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity a is generic( east_green_cnt:int
31、eger:=40; east_yellow_cnt:integer:=5; south_green_cnt:integer:=40; south_yellow_cnt:integer:=5); port(clk:in std_logic; east_green_led:out std_logic; east_yellow_led:out std_logic; east_red_led:out std_logic; south_green_led:out std_logic; south_yellow_led:out std_logic; south_red_led:out std_logic)
32、;end a;-architecture ex of a istype states is(s0,s1,s2,s3,s4);signal state1:states:=s0;signal state:states:=s0;signal cnt:integer range 0 to 150;signal save_cnt:integer;signal enable_cnt:std_logic:='0'beginu1:process(clk)beginif clk'event and clk='1' then if enable_cnt='1'
33、; then cnt<=cnt+1; else cnt<=1; end if; case state is when s0=> if (cnt=east_green_cnt)then state<=s1; else state<=s0; end if; when s1=> if (cnt=east_yellow_cnt)then state<=s2; else state<=s1; end if; when s2=> if(cnt=south_green_cnt)then state<=s3; else state<=s2; e
34、nd if; when s3=> if (cnt=south_yellow_cnt)then state<=s0; else state<=s3; end if; when s4=> end case; end if;end process u1;u2:process(state)begin case state is when s0=> east_green_led<='1' east_yellow_led<='0' east_red_led<='0' south_green_led<='0' south_yellow_led<='0' south_red_led<='1' enable_cnt<='1' if(cnt=east_green_cnt)t
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。
最新文檔
- 2024年ABPLC培訓(xùn)教程:為工業(yè)4.0時(shí)代做好準(zhǔn)備
- 《輕輕地》教案與2024年教育趨勢(shì)
- 人教部編版《道德與法治》二年級(jí)上冊(cè)第10課《我們不亂扔》精美課件(第1課時(shí))
- 第47屆世界技能大賽江蘇省選拔賽工業(yè)設(shè)計(jì)技術(shù)項(xiàng)目技術(shù)工作文件
- 2023年度淄博市職業(yè)技能大賽競(jìng)賽方案(砌筑)
- 《創(chuàng)意中國龍》課件:2024年全新演繹中華民族精神
- 《陸游生平上課》課件
- 2024-2025年河南中考物理試卷及答案
- 2024年全球視野下的SWOT分析法課件解析
- 山羊茲拉特草原課件:2024年教學(xué)新視角
- 2024屆高考英語復(fù)習(xí)語法填空課件
- 原地8字舞龍課課件高一上學(xué)期體育與健康人教版
- 如何有效應(yīng)對(duì)學(xué)習(xí)中的困難和挑戰(zhàn)
- 醫(yī)院感染管理培訓(xùn)課件消毒劑的選擇與使用
- 平臺(tái)分銷返傭合作協(xié)議
- 中國城市行政代碼
- 低纖維蛋白原血癥的護(hù)理查房
- 數(shù)學(xué)4教材介紹
- 全國大學(xué)生職業(yè)生涯規(guī)劃大賽
- 肩關(guān)節(jié)鏡術(shù)的健康宣教
- 關(guān)于學(xué)校安全保衛(wèi)工作存在的問題及對(duì)策
評(píng)論
0/150
提交評(píng)論