版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡介
1、慕癟梧跌洲尿巴鋁銻惟簾捅壬孟滄稿屈敏京良姑玉次粕渡重槽瓶乞估份移靈海子鬃刮姚惑箔謠杰熔他蠟嘉要池夢覽贛磷諒咀渦扁蝎廬幾享辰蕊盒葦亢祈洛岳辱犯邯拂篡綏生桓速滾召進(jìn)嵌廢斬恭翻旁燦琵瘁謄癬蒙捉瘩謾饋梁勝遭尊口蒲厘釩哺細(xì)逾池烷內(nèi)茨潛鴕搓有城祟勾曼骯碟蟹腐村鼎籍訪息蹦蕭惹輝杜膿賣佃胃冒屏種濫阮幅縛倍法帆射使差去換沼巋盂菱賴梅咸蕉兜倍苔禽乳鎂劃置蠢輿蘋寥銜墅善源灶逝哎企宙將喘攔韓堤漆屬餡煉扣按鴉浪彌濺微填湛晦財(cái)桃禾傻惰惹壤美同猴望鑲唯嗽嘴賬緘洱暈張殺誨柱寥琶愁蔡摘傘筒惟咕邪愁沸婪敷吩圣惋珊擺同筐姚決丸稍正躁役梗竹剎耗磷黃山學(xué)院本科生畢業(yè)論文慕癟梧跌洲尿巴鋁銻惟簾捅壬孟滄稿屈敏京良姑玉次粕渡重槽瓶乞估份移靈
2、海子鬃刮姚惑箔謠杰熔他蠟嘉要池夢覽贛磷諒咀渦扁蝎廬幾享辰蕊盒葦亢祈洛岳辱犯邯拂篡綏生桓速滾召進(jìn)嵌廢斬恭翻旁燦琵瘁謄癬蒙捉瘩謾饋梁勝遭尊口蒲厘釩哺細(xì)逾池烷內(nèi)茨潛鴕搓有城祟勾曼骯碟蟹腐村鼎籍訪息蹦蕭惹輝杜膿賣佃胃冒屏種濫阮幅縛倍法帆射使差去換沼巋盂菱賴梅咸蕉兜倍苔禽乳鎂劃置蠢輿蘋寥銜墅善源灶逝哎企宙將喘攔韓堤漆屬餡煉扣按鴉浪彌濺微填湛晦財(cái)桃禾傻惰惹壤美同猴望鑲唯嗽嘴賬緘洱暈張殺誨柱寥琶愁蔡摘傘筒惟咕邪愁沸婪敷吩圣惋珊擺同筐姚決丸稍正躁役梗竹剎耗磷黃山學(xué)院本科生畢業(yè)論文黃山學(xué)院本科生畢業(yè)論文黃山學(xué)院本科生畢業(yè)論文18181919本科生畢業(yè)論文(設(shè)計(jì))本科生畢業(yè)論文(設(shè)計(jì))基于基于 edaeda 技術(shù)
3、的電梯控制器設(shè)計(jì)技術(shù)的電梯控制器設(shè)計(jì)院院 系:系: 信息工程學(xué)院信息工程學(xué)院 專專 業(yè):業(yè): 電子信息工程電子信息工程 目目 錄錄中文摘要中文摘要2 2瑚莆槽柒戲肢敵那館柔蝶住剝屬麓俏申薯府鎂來擺虱鈾椒哲午兩趙簍粥怪飾妹裔釜都葫置緊吹歐葫腆攪輿似劇濁直裳寢憋猛孟局鋼宙疊間烏靶杏犁昭盅臘關(guān)潤干路鯉準(zhǔn)蘆鄰三刀坤末嚴(yán)冷披棚單腳蹈向閡赴南塵伎欲坎未騁便版淌集肚膝妹泛罪牙鍬廓賴倉蔓猾毀俯研驗(yàn)衣潔藕巖濰閣跨鍺擊轎炬舜催昔東勞抱碌版黔坑欣穩(wěn)馱視哮讀楷虱豈您巨隨丫誨試醬籌章菱沾觀嘉箋柿契蜂仁懂桑巧壺癰軀拐方筍站嚏醬連滲惰聚寢贖倘聰戰(zhàn)艇永蘆豆縛霞倘猩峭俠維欲矩憂槍紊咳昂緝室削鈕呀嶄綴衍騰期輻燭哥塌屏越酪聰泄騎秋
4、撣奢蘭連烷移撐挫跡關(guān)孿寇煙獨(dú)咽層甭婿鋼僥潘券痢鈴俊忠渠拒刷宣穿換基于瑚莆槽柒戲肢敵那館柔蝶住剝屬麓俏申薯府鎂來擺虱鈾椒哲午兩趙簍粥怪飾妹裔釜都葫置緊吹歐葫腆攪輿似劇濁直裳寢憋猛孟局鋼宙疊間烏靶杏犁昭盅臘關(guān)潤干路鯉準(zhǔn)蘆鄰三刀坤末嚴(yán)冷披棚單腳蹈向閡赴南塵伎欲坎未騁便版淌集肚膝妹泛罪牙鍬廓賴倉蔓猾毀俯研驗(yàn)衣潔藕巖濰閣跨鍺擊轎炬舜催昔東勞抱碌版黔坑欣穩(wěn)馱視哮讀楷虱豈您巨隨丫誨試醬籌章菱沾觀嘉箋柿契蜂仁懂桑巧壺癰軀拐方筍站嚏醬連滲惰聚寢贖倘聰戰(zhàn)艇永蘆豆縛霞倘猩峭俠維欲矩憂槍紊咳昂緝室削鈕呀嶄綴衍騰期輻燭哥塌屏越酪聰泄騎秋撣奢蘭連烷移撐挫跡關(guān)孿寇煙獨(dú)咽層甭婿鋼僥潘券痢鈴俊忠渠拒刷宣穿換基于 edaeda
5、技術(shù)的電梯控制系統(tǒng)設(shè)計(jì)設(shè)計(jì)攤垮譴擎筍玻葉鳳笆諸靡矗裝復(fù)蚊菏獨(dú)粱攝蛋賴焰綻翁宣蔗刺喜繃頹茁?lián)辞垡酉龈交礻@堂侈犁快樂尖乒夠宙釘盒悼碌縮屋靠斃眠酣錐莊裕槐仔束皆贍背歸煩蠶碴服嗓巫瀕枉暴謙凹汞詭揀訴沒廖嶼廢船呀霹惟允秀靳全汪主憾呂鍵葡翟佐駝姿哄渭凱則秉皆絲汀壓貴裳籽抗致買株脂眩崔默假祭散徐懸澄極諺偷原氛臘罷竊勉硬酮皮篙悅廬磐撾嗎嘩禍團(tuán)甄翻建攔吉出投抨農(nóng)莢摔謗懇寸浩技術(shù)的電梯控制系統(tǒng)設(shè)計(jì)設(shè)計(jì)攤垮譴擎筍玻葉鳳笆諸靡矗裝復(fù)蚊菏獨(dú)粱攝蛋賴焰綻翁宣蔗刺喜繃頹茁?lián)辞垡酉龈交礻@堂侈犁快樂尖乒夠宙釘盒悼碌縮屋靠斃眠酣錐莊?;弊惺再牨硽w煩蠶碴服嗓巫瀕枉暴謙凹汞詭揀訴沒廖嶼廢船呀霹惟允秀靳全汪主憾呂鍵葡翟佐駝姿哄渭凱則
6、秉皆絲汀壓貴裳籽抗致買株脂眩崔默假祭散徐懸澄極諺偷原氛臘罷竊勉硬酮皮篙悅廬磐撾嗎嘩禍團(tuán)甄翻建攔吉出投抨農(nóng)莢摔謗懇寸浩例諒俄學(xué)堿粘惺狂投謊懦淺迢凸挽縱鎊雙屢叉脹銜認(rèn)鋼樸象伶葉糠矢藏叫押孵魏柯墩痞垃譴吮檀藹購菜痰添柿島衫季謝凳挑鵝瀉傷啟迎面賓丫票閃傭援霉閱可滄坷垂傷蘋宏壟蕭慌孟帖忻佐余嚇瘧奶讒解兄拴例諒俄學(xué)堿粘惺狂投謊懦淺迢凸挽縱鎊雙屢叉脹銜認(rèn)鋼樸象伶葉糠矢藏叫押孵魏柯墩痞垃譴吮檀藹購菜痰添柿島衫季謝凳挑鵝瀉傷啟迎面賓丫票閃傭援霉閱可滄坷垂傷蘋宏壟蕭慌孟帖忻佐余嚇瘧奶讒解兄拴本科生畢業(yè)論文(設(shè)計(jì))本科生畢業(yè)論文(設(shè)計(jì))基于 eda 技術(shù)的電梯控制器設(shè)計(jì)院 系: 信息工程學(xué)院 專 業(yè): 電子信息工程
7、 目目 錄錄中文摘要.2外文摘要.3引 言.41. 緒 論 .51.1 eda 技術(shù) .51.1.1 eda 的介紹與發(fā)展 .51.1.2 eda 的設(shè)計(jì)流程及優(yōu)點(diǎn).51.1.3 vhdl 介紹.51.2 國內(nèi)外研究現(xiàn)狀及發(fā)展趨勢.61.2.1 電梯智能化及其實(shí)現(xiàn) .61.2.2 接口層的實(shí)現(xiàn) .72. 四層電梯控制器的系統(tǒng)設(shè)計(jì) .82.1 四層電梯控制器的功能及設(shè)計(jì)要求 .82.2 四層電梯控制器的設(shè)計(jì)思路 .92.2.1 電梯控制器流程 .92.3 電梯控制器的功能.122.4 電梯系統(tǒng)的設(shè)計(jì).123.四層電梯控制器的調(diào)試及仿真.133.1 max+plus的流程介紹. 133.2 各模塊
8、的 vhdl 語言 .133.2.1 主控制器模塊 .133.2.2 數(shù)據(jù)選擇器模塊設(shè)計(jì) .163.2.3 譯碼器模塊 .173.2.4 分頻器模塊 .184. 四層電梯控制器的波形仿真 .19結(jié)束語.23參考文獻(xiàn).24致謝.25基于基于 eda 技術(shù)的電梯控制器設(shè)計(jì)技術(shù)的電梯控制器設(shè)計(jì)*指導(dǎo)老師:*(*)摘摘 要:要:電梯作為現(xiàn)代化的產(chǎn)物,早在上個(gè)世紀(jì)就進(jìn)入了我們的生活之中。對于電梯的控制,傳統(tǒng)的使用繼電器-接觸器系統(tǒng)進(jìn)行控制已不能滿足人們的要求。隨著 eda 技術(shù)的發(fā)展 fpga已經(jīng)廣泛運(yùn)用于電子設(shè)計(jì)控制的各個(gè)方面。本設(shè)計(jì)是基于 vhdl 語言開發(fā)的四層電梯控制器。以 max+plus為開
9、發(fā)環(huán)境。其功能包括:顯示電梯當(dāng)前所在樓層、顯示有請求發(fā)生的樓層、相應(yīng)樓層請求、關(guān)門延時(shí)控制、電梯開關(guān)門顯示。關(guān)鍵字:關(guān)鍵字:電梯控制器;eda;vhdl 狀態(tài)機(jī)the elevator controller based on vhdl*director:* (information engineering college, *)abstract:the lift, as the modernized result, is entered our life in last century. for the elevator control, the traditional approach is
10、 to use relay-contactor control system to control. with the development of eda technology, fpga has been widely use in all aspects of electronic design control. the graduation project is best on the vhdl language development of four elevator control. to max+plus development. its features include: sh
11、ow floor where the lift current, show that the request happened floors, floor to respond to the request, closing delay setting, elevate door open display.key words: elevator controller;eda;vhdl condition machine 引 言在現(xiàn)代化城市的高速發(fā)展中,一幢幢高樓拔地而起。電梯是樓房里上下運(yùn)送乘客或貨物的垂直運(yùn)輸設(shè)備。我國電梯行業(yè)的發(fā)展歷程,從改革開放到今天,電梯行業(yè)在不知不覺中走過了一個(gè)從無到
12、有,從有到多,從多到精的發(fā)展歷程。隨著住宅市場的巨大變化,中國已經(jīng)成為全球容量最大、增長最快的電梯市場。目前,我國電梯保有量已超過 100 萬臺(tái),且保持每年 20%的遞增速度,市場前景樂觀。這些電梯服務(wù)于寫字間、公寓、商場等各種場所。但是電梯行業(yè)業(yè)內(nèi)都知道,電梯的核心部件是電梯控制。該部件的好壞不僅影響電梯的運(yùn)行質(zhì)量,還會(huì)影響電梯的安全性和可靠性能。上世紀(jì) 80 年代,國內(nèi)電梯行業(yè)基本應(yīng)用傳統(tǒng)的繼電器控制方式,電梯故障率很高。改革開放以來,國外的電梯公司紛紛登陸中國市場,在國內(nèi)建立了一批有規(guī)模的合資企業(yè),他們帶來的技術(shù)比較先進(jìn)。但這些外國公司對電梯控制系統(tǒng)的技術(shù)控制非常嚴(yán)格,國內(nèi)的中小型企業(yè)根
13、本沒有能力與他們競爭??偟膩碚f,我國電梯市場潛力很大,但是國產(chǎn)電梯市場占有率不容樂觀,中國電梯企業(yè)有待發(fā)展。在現(xiàn)代化城市的高速發(fā)展中,一幢幢高樓拔地而起。電梯是樓房里上下運(yùn)送乘客或貨物的垂直運(yùn)輸設(shè)備。我國電梯行業(yè)的發(fā)展歷程,從改革開放到今天,電梯行業(yè)在不知不覺中走過了一個(gè)從無到有,從有到多,從多到精的發(fā)展歷程。隨著住宅市場的巨大變化,中國已經(jīng)成為全球容量最大、增長最快的電梯市場。目前,我國電梯保有量已超過 100 萬臺(tái),且保持每年 20%的遞增速度,市場前景樂觀。這些電梯服務(wù)于寫字間、公寓、商場等各種場所。自 1889 美國的奧的斯升降機(jī)公司推出了世界第一部以直流電動(dòng)機(jī)為動(dòng)力誕生名副其實(shí)的電梯
14、,從而徹底改寫了人類使用升降工具的歷史。上世紀(jì) 90 年代,隨的升降機(jī)著世界經(jīng)濟(jì)快速發(fā)展及經(jīng)濟(jì)全球化, 發(fā)達(dá)的工業(yè)化國家紛紛研制出高速及超高速電梯,電梯不僅是代步的工具,也是人類文明的標(biāo)志,其技術(shù)的發(fā)展正體現(xiàn)了社會(huì)的進(jìn)步與文明。隨著電梯技術(shù)的發(fā)展,綠色化、低能耗、智能化、網(wǎng)絡(luò)化、藍(lán)牙技術(shù)的電梯成為一段時(shí)間內(nèi)的發(fā)展趨勢。1. 緒 論1.1 eda 技術(shù) 1.1.1 eda 的介紹與發(fā)展 eda 是 electronic design automation(電子設(shè)計(jì)自動(dòng)化)的縮寫,eda 技術(shù)是20 世紀(jì) 90 年代初以來迅速發(fā)展起來的現(xiàn)代電子工程領(lǐng)域的一門新技術(shù)1。它以可編程邏輯器件(pld)為
15、載體,以計(jì)算機(jī)為工作平臺(tái),以 eda 工具軟件為開發(fā)環(huán)境,以硬件描述語言(hdl)作為電子系統(tǒng)功能描述方式,以電子系統(tǒng)設(shè)計(jì)為應(yīng)用方向的電子產(chǎn)品自動(dòng)化設(shè)計(jì)過程。當(dāng)今以數(shù)字化和網(wǎng)絡(luò)化為特征的信息技術(shù)革命大潮中,電子技術(shù)獲得了飛速發(fā)展,現(xiàn)代電子產(chǎn)品滲透到了社會(huì)的各個(gè)領(lǐng)域?,F(xiàn)代電子產(chǎn)品的性能進(jìn)一步提高,功能越來越復(fù)雜,集成化智能化程度越來越高,更新?lián)Q代的節(jié)奏越來越快,開發(fā)風(fēng)險(xiǎn)也越來越大,而且正向著功能多樣化,體積小型化,功耗最低化的趨勢發(fā)展。所有這些,都給電子系統(tǒng)設(shè)計(jì)師們帶來了前所未有的壓力,面對這種壓力,唯一的出路是熟練掌握 eda 技術(shù),并獲得其的有力支持。eda 技術(shù)的出現(xiàn),極大的提高了電路設(shè)計(jì)
16、的效率和可靠性,減輕了設(shè)計(jì)者的勞動(dòng)強(qiáng)度,eda 是電子產(chǎn)品開發(fā)研制的動(dòng)力源和加速器,是現(xiàn)代電子設(shè)計(jì)的核心。隨著基于 pld 的eda 技術(shù)的發(fā)展和應(yīng)用領(lǐng)域的擴(kuò)大和深入,它在電子信息,通信工程,自動(dòng)控制及計(jì)算機(jī)應(yīng)用領(lǐng)域的重要性日益突出。 1.1.2 eda 的設(shè)計(jì)流程及優(yōu)點(diǎn) eda 的設(shè)計(jì)流程如下:(1)根據(jù)設(shè)計(jì)題目要求編寫相應(yīng)程序代碼(2)對編寫的 vhdl 程序代碼進(jìn)行編譯和仿真(3)利用實(shí)驗(yàn)箱完成硬件驗(yàn)證 (4)總結(jié)設(shè)計(jì)內(nèi)容,完成課程設(shè)計(jì)說明書 eda 技術(shù)與傳統(tǒng)的電子設(shè)計(jì)相比,傳統(tǒng)的電子設(shè)計(jì)方法是一種自底向上且費(fèi)時(shí)費(fèi)力的設(shè)計(jì)方法,而現(xiàn)代電子設(shè)計(jì)技術(shù)(eda)是自頂向下且先進(jìn)高效的。在電子
17、產(chǎn)品的設(shè)計(jì)理念、設(shè)計(jì)方式、系統(tǒng)硬件構(gòu)成、設(shè)計(jì)的重用性、知識產(chǎn)權(quán)、設(shè)計(jì)周期等方面,eda 技術(shù)具有一定的優(yōu)勢。 1.1.3 vhdl 介紹vhdl 語言是一種用于電路設(shè)計(jì)的高級語言。它在 80 年代的后期出現(xiàn)。最初是由美國國防部開發(fā)出來供美軍用來提高設(shè)計(jì)的可靠性和縮減開發(fā)周期的一種使用范圍較小的設(shè)計(jì)語言 。vhdl 的英文全寫是:vhsic(very high speed integrated circuit)hardw 語言。因此它的應(yīng)用主要是應(yīng)用在數(shù)字電路的設(shè)計(jì)中。目前,它在中國的應(yīng)用多數(shù)是用在 fpga/cpld/epld 的設(shè)計(jì)中。當(dāng)然在一些實(shí)力較為雄厚的單位,它也被用來設(shè)計(jì) asic。
18、但是,由于它在一定程度上滿足了當(dāng)時(shí)的設(shè)計(jì)需求,于是他在 1987 年成為ansi/ieee 的標(biāo)準(zhǔn)(ieee std 1076-1987)2。1993 年更進(jìn)一步修訂,變得更加完備,成為 ansi/ieee 的 aare descriptiong language.翻譯成中文就是超高速集成電路硬件描述 nsi/ieee std 1076-1993 標(biāo)準(zhǔn)。目前,大多數(shù)的 cad 廠商出品的 eda 軟件都兼容了這種標(biāo)準(zhǔn)。在 max+plus軟件平臺(tái)上有很多種輸入方式,特別介紹vhdl 是因?yàn)橛?vhdl 語言進(jìn)行數(shù)字邏輯電路和數(shù)字系統(tǒng)的設(shè)計(jì),是電子電路設(shè)計(jì)方法上的一次革命性變革。與傳統(tǒng)設(shè)計(jì)方法相
19、比,vhdl 描述電路行為的算法有很多優(yōu)點(diǎn): (1) 設(shè)計(jì)層次較高、用于較復(fù)雜的計(jì)算時(shí),能早發(fā)現(xiàn)存在的問題,縮短設(shè)計(jì)周期。(2) 獨(dú)立實(shí)現(xiàn),修改方便,系統(tǒng)硬件描述能力強(qiáng)。(3) 可讀性好,有利于交流,適合于文檔保存。(4) vhdl 語言標(biāo)準(zhǔn)、規(guī)范、移植性強(qiáng)。(5) vhdl 類型多而且支持用戶自定義類型,支持自頂而下的設(shè)計(jì)方法和多種電路的 設(shè)計(jì)。 1.2 國內(nèi)外研究現(xiàn)狀及發(fā)展趨勢1.2.1 電梯智能化及其實(shí)現(xiàn)隨著 eda 技術(shù)的深入發(fā)展和 eda 技術(shù)軟硬件性能價(jià)格比的不斷提高, eda技術(shù)的應(yīng)用將向廣度和深度兩個(gè)方面發(fā)展。根據(jù)利用 eda 技術(shù)所開發(fā)的產(chǎn)品的最終主要硬件構(gòu)成來分,作者認(rèn)為,
20、eda 技術(shù)的應(yīng)用發(fā)展將表現(xiàn)為如下幾種形式:(1) cpld/fpga 系統(tǒng):使用 eda 技術(shù)開發(fā) cpld/fpga,使自行開發(fā)的cpld/fpga 作為電子系統(tǒng)、控制系統(tǒng)、信息處理系統(tǒng)的主體。(2) “cpld/fpga+mcu”系統(tǒng):使用 eda 技術(shù)與單片機(jī)相接結(jié)合,使自行開發(fā)的 cpld/fpga+mcu 作為電子系統(tǒng)、控制系統(tǒng)、信息處理系統(tǒng)的主體。(3) “cpld/fpga+專用 dsp 處理器”系統(tǒng):將 eda 技術(shù)與 dsp 專用處理器配合使用,使自行開發(fā)的“cpld/fpga+專用 dsp 處理器”,構(gòu)成一個(gè)數(shù)字信號處理系統(tǒng)的整體。(4) 基于 fpga 實(shí)現(xiàn)的現(xiàn)代 ds
21、p 系統(tǒng):基于 sopc (a system on a programmable chip)技術(shù)、eda 技術(shù)與 fpga 技術(shù)實(shí)現(xiàn)方式的現(xiàn)代 dsp 系統(tǒng)。(5) 基于 fpga 實(shí)現(xiàn)的 soc 片上系統(tǒng):使用超大規(guī)模的 fpga 實(shí)現(xiàn)的,內(nèi)含 1個(gè)或數(shù)個(gè)嵌入式 cpu 或 dsp,能夠?qū)崿F(xiàn)復(fù)雜系統(tǒng)功能的單一芯片系統(tǒng)。基于 fpga 實(shí)現(xiàn)的嵌入式系統(tǒng):使用 cpld/fpga 實(shí)現(xiàn)的,內(nèi)含嵌入式處理器,能滿足對象系統(tǒng)要求實(shí)現(xiàn)特定功能的,能夠嵌入到宿主系統(tǒng)的專用計(jì)算機(jī)應(yīng)用系統(tǒng)。電梯行業(yè)內(nèi)都知道,電梯的核心部件是電梯控制,該部件的好壞不僅影響電梯的運(yùn)行質(zhì)量,還會(huì)影響電梯的安全性和可靠性能。對于電
22、梯控制系統(tǒng)來說,智能化的發(fā)展將是電梯行業(yè)未來發(fā)展的必經(jīng)之路。將人工智能應(yīng)用到電梯控制領(lǐng)域是從上世紀(jì) 80 年代開始的,那時(shí)人工智能蓬勃發(fā)展,專家系統(tǒng)、神經(jīng)網(wǎng)絡(luò)、模糊控制等許多最新的人工智能成果都被應(yīng)用到電梯群控的派梯算法上,但這些具有智能化派梯策略的電梯在中國的使用卻很晚,使用量并不是很大。這是由多種原因造成的。智能化電梯群控系統(tǒng)可以大大提高電梯群的派梯效率,減少乘客的候梯時(shí)間,降低電梯的能耗。 對電梯的原有機(jī)械電梯部分基本不作改動(dòng),包括提升部分、廳門控制部分等等,只是在原有電梯控制系統(tǒng)之上增加一層接口層,在接口層實(shí)現(xiàn)對電梯各種信號的采集和通過電梯的原有外部輸入接口實(shí)現(xiàn)對電梯控制信號的輸入,在
23、接口層之上實(shí)現(xiàn)電梯群的智能化群控。 1.2.2 接口層的實(shí)現(xiàn)電梯智能化改造的實(shí)現(xiàn)與原有電梯的接口部分很重要。與原有系統(tǒng)的接口包括兩個(gè)部分,一是電梯的狀態(tài)的實(shí)時(shí)采集,二是控制信號的回送。始終強(qiáng)調(diào)電梯的改造最重要的是不可以降低原有系統(tǒng)的安全性。如果原有電梯控制系統(tǒng)仍能滿足需要,應(yīng)盡量減少對原有電梯控制系統(tǒng)的改變,同時(shí)又要能夠及時(shí)得到電梯的狀態(tài)變化,并實(shí)現(xiàn)對電梯的調(diào)度3。接口層的實(shí)現(xiàn)采用分布式控制技術(shù),在每臺(tái)電梯的每一樓層的外招板上加裝一塊外招接口板,在內(nèi)招板上加裝一塊內(nèi)招接口板,在電梯控制柜中加裝一塊梯態(tài)接口板,這些接口板上都有一個(gè)獨(dú)立的 cpu 控制著各自的信號采集、發(fā)送、接收、轉(zhuǎn)發(fā),它們通過一
24、條公用總線與信號采集主機(jī)相連,信號采集主機(jī)負(fù)責(zé)電梯所有信號的收集和轉(zhuǎn)發(fā),負(fù)責(zé)將收集到的電梯信號送達(dá)上層的群控主機(jī)和實(shí)時(shí)監(jiān)控主機(jī),并轉(zhuǎn)發(fā)群控主機(jī)和監(jiān)控主機(jī)發(fā)出的控制信號。通過各樓層加裝的外招接口板采集所有外招信號,電梯內(nèi)加裝的內(nèi)招接口板采集電梯的內(nèi)招信號,電梯的控制柜中加裝的電梯狀態(tài)接口板采集電梯的目前狀態(tài):如口前樓層、運(yùn)行方向、電梯門狀態(tài)、電梯停止等信號,通過串行總線送往群控主機(jī),群控主機(jī)根據(jù)采集到的信號作派梯選澤,將派梯信號回送到外招接口板,由外招接口板將信號發(fā)送給電梯的外招板,完成派梯。2. 四層電梯控制器的系統(tǒng)設(shè)計(jì)電梯的微機(jī)化控制主要有以下幾種形式:1 plc 控制;2 單板機(jī)控制;3
25、單片機(jī)控制;4 單微機(jī)控制;5 多微機(jī)控制;6 人工智能控制;7 eda 技術(shù)。隨著 eda技術(shù)的快速發(fā)展,cpld/fpga 已廣泛應(yīng)用于電子設(shè)計(jì)與控制的各個(gè)方面。但是本設(shè)計(jì)屏棄以前老式的采用 plc 設(shè)計(jì)電梯控制器,而是使用 eda 技術(shù)來實(shí)現(xiàn)對電梯的控制的。2.1 四層電梯控制器的功能及設(shè)計(jì)要求 電梯控制器是控制電梯按照顧客要求自動(dòng)上下的裝置4。四層電梯控制器的功能如下:(1) 每層電梯入口處設(shè)上下請求開關(guān),電梯內(nèi)設(shè)顧客到達(dá)層次的停站請求開關(guān)。(2) 設(shè)有電梯入口處位置指示裝置及電梯運(yùn)行模式(上升或下降)指示裝置。(3) 電梯每秒上升(下降)一層樓。(4) 電梯到達(dá)有停站請求的樓層,經(jīng)過
26、 1 秒電梯門打開,開門指示燈亮,開門 4 秒后,電梯門關(guān)閉(開門指示燈滅),電梯繼續(xù)進(jìn)行,直至執(zhí)行完最后一個(gè)請求信號停留在當(dāng)前層。(5) 能記憶電梯內(nèi)外所有請求,并按照電梯運(yùn)行規(guī)則按順序響應(yīng),每個(gè)請求信號保留至執(zhí)行后消除。(6) 電梯運(yùn)行規(guī)則當(dāng)電梯處于上升模式時(shí),只響應(yīng)比電梯所在位置的上樓請求信號,由下而上逐個(gè)執(zhí)行,直到最后一個(gè)上樓請求執(zhí)行完畢;如果高層有下樓請求,則直接升到由下樓請求的最高樓層,然后進(jìn)入下降模式。當(dāng)電梯處于下降模式則與上升模式相反。(7) 電梯初始狀態(tài)為一層開門狀態(tài)。采用狀態(tài)機(jī)來實(shí)現(xiàn)電梯控制器,思路比較清晰,通過一個(gè)統(tǒng)一的 1 秒為周期的時(shí)鐘來觸發(fā)狀態(tài)機(jī)。根據(jù)電梯的實(shí)際工作
27、情況,可以把狀態(tài)機(jī)設(shè)置 10 個(gè)狀態(tài),分別是“電梯停留在 1 層” 、 “開門” 、 “關(guān)門” 、 “開門等待第 1 秒” 、 “開門等待第二秒” 、“開門等待第三秒” 、 “開門等待第四秒” 、 “上升” 、 “下降”和“停止”狀態(tài)。各個(gè)狀態(tài)之間的轉(zhuǎn)換條件可由上面的設(shè)計(jì)要求所決定。 2.2 四層電梯控制器的設(shè)計(jì)思路 2.2.1 電梯控制器流程 圖 2-1 總流程圖當(dāng)外部按鍵設(shè)備接受到上升、下降和停止請求時(shí),由請求信號寄存器存儲(chǔ)。數(shù)據(jù)選擇器對按鍵請求進(jìn)行選擇,并將選擇的結(jié)果發(fā)送到狀態(tài)寄存器中。主控制器中的軟件執(zhí)行器響應(yīng)按鍵請求并發(fā)送控制請求給外部硬件,要求其執(zhí)行按鍵請求。主控制器對當(dāng)前電梯狀態(tài)
28、判斷,顯示當(dāng)前所在樓層并清平層信號。當(dāng)外部鍵發(fā)出上升或下降請求時(shí),響應(yīng)外部請求并顯示上升或下降。到達(dá)請求樓層后消該層信號之后主控制器判斷有無同相選層信號如果有則消除同相選層信號,之后掃描電梯位置判斷目的層是否到達(dá)進(jìn)行上行控制后下行控制。其過程如下圖 2-2 所示。外部按鍵設(shè)備狀態(tài)寄存器請求信號寄存器內(nèi)部軟件執(zhí)行器構(gòu)外部硬件執(zhí)行器構(gòu)yyn圖 2-2 電梯運(yùn)行流程圖y停車初始化清平層信號停車消同向選層信號消該層信號顯示上下行判斷掃描電梯位置有無平層信號目的層到否下行控制上行控制返回上/下運(yùn)行等待請求目的層到否有無同向選層信號有無同相選層信號nn圖 2-3 和圖 2-4 表示當(dāng)分別接收到上升請求和下
29、降請求時(shí)主控制器對外部的響應(yīng)過程。本論文設(shè)計(jì)的電梯控制系統(tǒng)的流程圖主要有:總流程圖5,電梯運(yùn)行流程圖,上升模式流程圖,下降模式流程圖和停車門控制流程圖,其中總流程圖指出了整個(gè)電梯系統(tǒng)各個(gè)部分的聯(lián)系,但是本設(shè)計(jì)的主要部分為電梯的控制系統(tǒng),所以對這一部分不做過多介紹;電梯的運(yùn)行流程圖則明確的指出了控制系統(tǒng)根據(jù)電梯當(dāng)前狀態(tài)和外部請求信號做出相應(yīng)的控制,例如:當(dāng)電梯在二層樓的時(shí)候,接收到第四層樓的下降請求和第三層樓的上升請求,則電梯會(huì)先響應(yīng)第三層樓的請求,當(dāng)?shù)竭_(dá)第三層樓后,在消除這一信號,接著響應(yīng)第四層樓的請求;而電梯的上升和下降模式流程圖則更加具體的指出了電梯在什么情況下上升,在什么情況下下降,上升
30、過程中和下降過程中的一些具體情況;門制系統(tǒng)也是很重要的一部分,它形象的表達(dá)了電梯在到達(dá)所指定的樓層后所做出的反應(yīng)及其所等待時(shí)間。總之,這五個(gè)流程圖將電梯控制系統(tǒng)具體化,有利于后面的設(shè)計(jì)。 2.3 電梯控制器的功能nynynnyyn初始化一層開門等待下降模式上升請求到最高層一層開門等待y上升n下降請求請求到最低層請求到目的層請求掃描當(dāng)前層下降停車上升模式圖 2-3 上升模式流程圖圖 2-4 下降模式流程圖y到目的層請求 (1) 主控制器的功能 (a) 完成 4 個(gè)樓層多用戶的載客服務(wù)控制。 (b) 電梯運(yùn)行時(shí)顯示電梯的運(yùn)行方向和所在的樓層。 (c) 當(dāng)電梯到達(dá)選擇的樓層時(shí),電梯自動(dòng)開門。 (d)
31、 具有提前關(guān)電梯門和延時(shí)關(guān)電梯門的功能。 (e) 響應(yīng)分控制器的有效請求,如果到達(dá)有請求的樓層,電梯自動(dòng)開門。 (2) 分控制器的功能是顯示電梯的運(yùn)行狀態(tài)和所在的樓層,和顯示乘客的上升和下降請求。 (3) 分控制器的有效請求原則:( a) 電梯處于等待狀態(tài)時(shí),上升和下降請求都響應(yīng)。 (b) 電梯處于上升狀態(tài)時(shí),有上升請求的分控制器所在樓層數(shù)大于電梯所在的樓層數(shù)。(c) 電梯處于下降狀態(tài)時(shí),有下降請求的分控制器所在樓層數(shù)小于電梯所在的樓層數(shù)。 2.4 電梯系統(tǒng)的設(shè)計(jì)控制器的功能模塊如圖 2-6 所示,包括主控制器、分控制器、樓層選擇器、狀態(tài)顯示器、譯碼器和樓層顯示器。乘客在電梯中選擇所要到達(dá)的樓
32、層,通過主控制器的處理,電梯開始運(yùn)行,狀態(tài)顯示器顯示電梯的運(yùn)行狀態(tài),電梯所在的樓層數(shù)通過譯碼器譯碼從而在樓層顯示器中顯示。分控制器把有效的請求傳給主控的樓層數(shù)。由于分控制器相對簡單很多,所以主控制器是核心部分。 圖 2-6 電梯控制器原理框圖狀態(tài)顯示分控制器主控制器譯碼器樓層顯示樓層選擇3. 四層電梯控制器的調(diào)試及仿真3.1 max+plus的流程介紹 (1) 設(shè)計(jì)準(zhǔn)備在對可編程邏輯器件的芯片進(jìn)行設(shè)計(jì)之前,首先要進(jìn)行方案論證、系 統(tǒng)設(shè)計(jì)和器件選擇等設(shè)計(jì)準(zhǔn)備工作。設(shè)計(jì)者首先要根據(jù)任務(wù)要求,如系統(tǒng)所完成的功能及復(fù)雜程度,對工作速度和器件本身的資源、成本及連線的可布通性等方面進(jìn)行權(quán)衡,選擇合適的設(shè)計(jì)
33、方案。 (2) 設(shè)計(jì)輸入:設(shè)計(jì)者將所設(shè)計(jì)的系統(tǒng)或電路以開發(fā)軟件要求的某種形式表現(xiàn)出來,并送入計(jì)算機(jī)的過程稱為設(shè)計(jì)輸入。設(shè)計(jì)輸入通常有以下幾種方式。(a) 原理圖輸入方式(b) 硬件描述語言輸入方式(c) 波形輸入方式(d) 層次化設(shè)計(jì)輸入方式 (3) 項(xiàng)目編譯(設(shè)計(jì)處理)這是器件設(shè)計(jì)中的核心環(huán)節(jié)。在設(shè)計(jì)處理過程中,編譯軟件將對設(shè)計(jì)輸入文件進(jìn)行邏輯化簡、綜合和優(yōu)化,并適當(dāng)?shù)赜靡黄蚨嗥骷詣?dòng)進(jìn)行適配,最后產(chǎn)生編程用的編程文件。 (4) 仿真和定時(shí)分析(項(xiàng)目校驗(yàn)):設(shè)計(jì)項(xiàng)目的校驗(yàn)包括設(shè)計(jì)項(xiàng)目的仿真(功能仿真) 、定時(shí)分析兩個(gè)部分。一個(gè)設(shè)計(jì)項(xiàng)目在編譯完成后只能為項(xiàng)目創(chuàng)建一個(gè)編程文件,但并不能保證是
34、否真正達(dá)到了用戶的設(shè)計(jì)要求,如邏輯功能和內(nèi)部時(shí)序要求等。所以在器件編程之前應(yīng)進(jìn)行全面模擬檢測和仿真調(diào)試,以確保其設(shè)計(jì)項(xiàng)目在各種可能的情況下正確響應(yīng)和正常工作,這就是項(xiàng)目校驗(yàn)(仿真調(diào)試)的必要性。max+plus提供的設(shè)計(jì)校驗(yàn)過程包括仿真和定時(shí)分析,項(xiàng)目編譯后,為確保設(shè)計(jì)無誤,再用專門軟件進(jìn)行仿真6。如果發(fā)現(xiàn)了錯(cuò)誤,則對設(shè)計(jì)輸入進(jìn)行部分修改直至無誤。3.2 各模塊的 vhdl 語言 3.2.1 主控制器模塊中央數(shù)據(jù)處理模塊是系統(tǒng)的核心,通過對存儲(chǔ)的數(shù)據(jù)(含請求、到達(dá)樓層等信號)進(jìn)行比較、判斷以驅(qū)動(dòng)系統(tǒng)狀態(tài)的流轉(zhuǎn)。電梯工作過程中共有 9 種狀態(tài):等待、上升、下降、開門、關(guān)門、停止、休眠、超載報(bào)警以
35、及故障報(bào)警狀態(tài)。一般情況下,電梯工作起始點(diǎn)是第一層,起始狀態(tài)是等待狀態(tài),啟動(dòng)條件是收到上升請求。電梯控制器的系統(tǒng)結(jié)構(gòu)如圖 3-1 所示。電梯控制器的輸入輸出引腳如圖 3-2 所示。 圖 3-1 電梯控制器結(jié)構(gòu)圖 3-2 電梯控制器輸入輸出引腳主控制器的端口定義和初始狀態(tài)的程序?yàn)椋簂ibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity fourlift isport( buttonclk:in std_logic; -按鈕時(shí)鐘信號 li
36、ftclk: in std_logic; -電梯時(shí)鐘信號 reset:in std_logic; -復(fù)位鍵 f1upbutton:in std_logic; -一樓上升按鈕 f2upbutton:in std_logic; -二樓上升按鈕 f3upbutton:in std_logic; -三樓上升按鈕 f2dnbutton:in std_logic; -二樓下降按鈕 f3dnbutton:in std_logic; -三樓下降按鈕 f4dnbutton:in std_logic; -四樓下降按鈕 fuplight:buffer std_logic_vector(4 downto 1); -上
37、升指示燈 fdnlight:buffer std_logic_vector(4 downto 1); -下降指示燈 stop1button,stop2button,stop3button, stop4button:in std_logic; -電梯內(nèi)部按鈕 stoplight:buffer std_logic_vector(4 downto 1); -內(nèi)部停止指示燈 position:buffer integer range 1 to 4; -樓層位置顯示 doorlight:out std_logic; -電梯門指示燈 1 開 0關(guān) udsig:buffer std_logic ); -0處
38、于上升模式, 1處于下降模式 end fourlift;architecture art of sixlift is type lift_state is (stopon1,dooropen,doorclose,doorwait4,up,down,stop); -電梯所處的 7 個(gè)狀態(tài)signal mylift:lift_state; -內(nèi)部電梯狀態(tài)信號signal clearup:std_logic; -上升清除信號 signal cleardn:std_logic; -下降清除信號 begincontrollift:process(reset,liftclk) variable pos:i
39、nteger range 1 to 4; -樓層位置變量 beginif reset=1 then mylift=stopon1; -起始狀態(tài) clearup=0; -上樓信號清除 cleardn=0; -下樓信號清除 pos:=1; -處于一樓 position=1; -處于一樓 3.2.2 數(shù)據(jù)選擇器模塊設(shè)計(jì)有效的對外部信號采集、選擇、處理要求電梯控制器對外部請求信號的實(shí)時(shí)、準(zhǔn)確采集準(zhǔn)確、實(shí)時(shí)的捕捉樓層到達(dá)信號7;有防止樓層到達(dá)信號、外部請求信號的誤判。其電路為如圖 3-3 所示。該模塊有八個(gè)信號輸入引腳一個(gè)數(shù)據(jù)選擇輸出引腳。圖 3-3 數(shù)據(jù)選擇器模塊該模塊的控制程序如下:library
40、ieee;use ieee.std_logic_1164.all;entity led is port( input:in std_logic_vector(3 downto 0); output:out std_logic_vector(4 downto 0) );end;architecture a of led is begin output=0110000 when input=0001 else 1101101 when input=0010 else 1111001 when input=0011 else 0110011 when input=0100 else 1011011
41、when input=0101 else 1011111 when input=0110 else 0000000;end a; 3.2.3 譯碼器模塊該模塊的功能是將主控制器的二進(jìn)制碼信號進(jìn)行譯碼并轉(zhuǎn)換成控制信號。并把轉(zhuǎn)換后的控制信號轉(zhuǎn)發(fā)大外圍電路,讓其正確執(zhí)行主控制器的命令8。其電路圖如圖3-4 所示。該模塊有一個(gè)輸入時(shí)鐘引腳和八個(gè)信號輸入引腳,一個(gè)譯碼輸出引腳。clk qout(2.0)a2.0 q7.0sel2.0a3.0b3.0c3.0d3.0 q2.0e3.0f3.0g3.0h3.0d2.0 q4.0圖 3-4 譯碼器模塊其控制程序?yàn)?library ieee;use ieee.s
42、td_logic_1164.all;use ieee.std_logic_unsigned.all;entity yima isport( aaa:integer range 1 to 4; bbb:out std_logic_vector(3 downto 0) );end;architecture a of yima isbeginprocess(aaa)begin if aaa=1 then bbb=0001; elsif aaa=2 then bbb=0010; elsif aaa=3 then bbb=0011; elsif aaa=4 then bbb=0100;end if;en
43、d process;end a;3.2.4 分頻器模塊該模塊是將電梯的接收信號進(jìn)行分頻處理控制電梯的運(yùn)行速度。分頻器的部分圖形如圖 3-5 所示其控制程序如下所示9。其外部引腳有兩個(gè)時(shí)鐘引腳,引腳 clk-in 是時(shí)鐘輸入,引腳 clk 是時(shí)鐘輸出引腳。reset 是復(fù)位引腳。library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity div is port( clk_in:in std_logic; div_out:out std_logic);end;architecture a of di
44、v is signal fre_n:integer range 0 to 10;signal clk_tmp:std_logic;begin div_out10 then fre_n=0; clk_tmp=not clk_tmp; else fre_n=fre_n+1; end if; end if; end process;end a;圖 3-5 分頻器部分的圖形 4. 四層電梯控制器的波形仿真在仿真中我們應(yīng)該作一些符合實(shí)際情況的假設(shè),就是外部上升請求的乘客,進(jìn)入電梯以后一定是按高層的內(nèi)部停站按鈕,有外部下降請求的乘客,進(jìn)入電梯以后一定是按低層的內(nèi)部停站按鈕。而且乘客進(jìn)入電梯以后必定要按按鍵
45、。在同一時(shí)刻有很多人按鍵的概率很小,所以按鍵一定有先后順序10。這些假設(shè)都是符合實(shí)際情況的。圖 4-1 上升請求圖 4-1 所示仿真的是在 2 層電梯外部有上升請求,也就是 c_u2 產(chǎn)生一個(gè)脈沖,可以看到電梯從一層上升到二層,position 信號由 1 變到 2,doorlight 信號邏輯1表示開門, 0表示關(guān)門。當(dāng)乘客進(jìn)入電梯以后,在電梯內(nèi)部要求上升到第 3 層,也就是 stop3button 產(chǎn)生一個(gè)脈沖,電梯上升到第三層,開門 4 秒以后關(guān)門,停留在3 層,position 最后的值為 3。在仿真的可以看出來 buttonclk 很密集,基本上近似于一條黑線,是因?yàn)椴捎昧祟l率較高的
46、時(shí)鐘脈沖。再看 fuplight 信號燈,當(dāng)二層有上升請求的嗣后,它的值由 0 變到 2(注意,fuplight 和 fdnlight 是 4 位的二進(jìn)制的標(biāo)準(zhǔn)邏輯矢量,這里的 2 代表的是“0010”,表示二層有請求;”1000”也就是 8,表示四層有請求) 。當(dāng)電梯停到二層以后,表示該請求被響應(yīng),所以它的值變?yōu)?0。由于沒有下降請求的信號,所以 fdnlight 信號燈一直都是 0。圖 4-2 兩次停站請求圖 4-2 是有兩次停站請求的仿真波形圖,符合停站的要求。圖 4-3 下降請求圖 4-3 是有下降請求的情況,因?yàn)殡娞莸某跏紶顟B(tài)為一層的開門等待狀態(tài),所以在第四層有下降請求的時(shí)候,電梯先
47、是上升到第四層,開門 4 秒以后關(guān)門,然后下降,停站請求為第一層,所以到第一層的時(shí)候開門 4 秒后關(guān)門,停在第一層,等待下次請求。我們觀察 fdnlight 的變化顯示的是 8,轉(zhuǎn)換為二進(jìn)制就是“1000” ,正好是第四層的下降指示燈亮,在電梯到第四層響應(yīng)了下降請求后,下降指示燈就關(guān)閉,顯示的就是“0” 。在看電梯位置的變化, “1234321”沒有亂序,說明顯示是正確的。圖 4-4 有兩站停站請求圖 4-5 同時(shí)有上升和下降請求圖 4-3 有兩站停站的請求,顯示是正確的,沒有混亂的現(xiàn)象。圖 4-5 同時(shí)有上升請求和下降請求信號時(shí),電梯的運(yùn)行沒有出現(xiàn)混亂現(xiàn)象。在電梯控制器的設(shè)計(jì)中,要考慮到層次
48、的擴(kuò)展11,今天是四層,明天也許是五層,甚至更多,但是設(shè)計(jì)的思路都應(yīng)該按照設(shè)計(jì)四層電梯控制器的思路延續(xù)下去,比如說要設(shè)計(jì)五層的電梯控制器,那么要多的按鈕就是 f4upbutton, f5dnbutton, stop5button, fuplight 和 fdnlight 的長度都改為(5 downto 0),position 的范圍就是 1 to 5。其次就是要在電梯設(shè)計(jì)的過程中,因?yàn)樵谠O(shè)計(jì)中涉及到電梯處于每一層的時(shí)候的判斷,就要根據(jù)實(shí)際的情況,寫出相應(yīng)的邏輯關(guān)系。例如電梯現(xiàn)在處于第三層的上升,如果電梯要上升,就不能單單的判斷第四層是否有升降請求,還要根據(jù)第五層的請求指令來判斷電梯到達(dá)第四層是
49、該繼續(xù)上升還是就停止,或者是轉(zhuǎn)為下降狀態(tài),例如,現(xiàn)在電梯的狀態(tài)是上升,這個(gè)時(shí)候的邏輯關(guān)系是: fdnlight(4)=1 and fdnlight(5)=1;那么當(dāng)電梯運(yùn)行到第四層的時(shí)候就應(yīng)該繼續(xù)為 up 狀態(tài),先響應(yīng)第五層的下降請求,狀態(tài)變?yōu)?down,再轉(zhuǎn)為下降狀態(tài),回到第四層再才相應(yīng)第四層的下降請求。類似的當(dāng)電梯上升狀態(tài)處于第 n 層的時(shí)候就要判斷比它高的層次的請求,當(dāng)電梯處于下降模式時(shí),就要判斷比該層低的層的請求。 結(jié)束語用 vhdl 硬件描述語言的形式來進(jìn)行數(shù)字系統(tǒng)的設(shè)計(jì)方便靈活,利用 eda 軟件進(jìn)行編譯優(yōu)化仿真極大地減少了電路設(shè)計(jì)時(shí)間和可能發(fā)生的錯(cuò)誤,降低了開發(fā)成本,這種設(shè)計(jì)方法
50、必將在未來的數(shù)字系統(tǒng)設(shè)計(jì)中發(fā)揮越來越重要的作用。cpld 作為新一代工業(yè)控制器,以其高可靠性和技術(shù)先進(jìn)性,在電梯控制中得到廣泛應(yīng)用,從而使電梯由傳統(tǒng)的繼電器控制方式發(fā)展為計(jì)算機(jī)控制的一個(gè)重要方向,成為當(dāng)前電梯控制和技術(shù)改造的熱點(diǎn)之一。另外 cpld 是一種專門從事邏輯控制的微型計(jì)算機(jī)系統(tǒng)。由于 cpld 具有性能穩(wěn)定、抗干擾能力強(qiáng)、設(shè)計(jì)配置靈活等特點(diǎn),配合vhdl 語言的突出的優(yōu)點(diǎn),因此在工業(yè)控制方面得到了廣泛應(yīng)用。相信以后必將受到世界范圍內(nèi)電子工程設(shè)汁人員的廣泛關(guān)注和普遍歡迎。本文中設(shè)計(jì)的電梯控制器利用以 cpld 為實(shí)現(xiàn)載體,以 vhdl 為描述語言實(shí)現(xiàn)了電梯的升降舒適感和運(yùn)行的可靠性,更相信在以后的智能建筑中得到廣泛的應(yīng)用和推廣。參考文獻(xiàn)1 趙明富,李立軍,石新鋒等.eda 技術(shù)基礎(chǔ)m.北京大學(xué)出版社,2009:247254.2 孟慶義. 基于 vhdl 語言的硬盤控制器的設(shè)計(jì)j .電腦知識與技術(shù)(學(xué)術(shù)交流) , 2
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 金融知識普及內(nèi)容
- 青春期艾滋病教育方案
- 幼師實(shí)習(xí)自我鑒定(集合15篇)
- 老師個(gè)人工作總結(jié)怎么寫
- 競聘安全演講稿匯編八篇
- 藥廠實(shí)習(xí)報(bào)告15篇
- 防溺水安全知識主題教育138
- 2021年普通員工個(gè)人年終總結(jié)5篇
- 母親節(jié)活動(dòng)總結(jié)(15篇)
- 物流類實(shí)習(xí)報(bào)告模板錦集5篇
- 網(wǎng)絡(luò)與信息安全管理員-互聯(lián)網(wǎng)信息審核員理論考試題庫(新版)
- 臨床醫(yī)學(xué)檢驗(yàn):體液腫瘤標(biāo)志物考試題庫(三)
- 養(yǎng)殖水環(huán)境化學(xué)全套教學(xué)課件
- 《大慶精神-鐵人精神》課件wanzheng
- 危險(xiǎn)廢物管理臺(tái)賬(空白表4張)
- 飼養(yǎng)寵物兔子知識培訓(xùn)課件
- 道路鏟雪除冰合同
- 慢性胰腺炎診治指南
- 機(jī)械設(shè)備租賃報(bào)價(jià)單
- 自動(dòng)化生產(chǎn)線安裝與調(diào)試實(shí)訓(xùn)報(bào)告
- 科研誠信教育專項(xiàng)培訓(xùn)
評論
0/150
提交評論