版權說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權,請進行舉報或認領
文檔簡介
1、湖北工業(yè)大學商貿(mào)學院畢業(yè)設計摘 要隨著科學技術的快速發(fā)展,超聲波將在傳感器中的應用越來越廣泛。由于超聲波指向性強,能量消耗緩慢,在介質(zhì)中傳播的距離較遠,因而超聲波經(jīng)常用于距離的測量,如測距儀和物位測量儀等都可以通過超聲波來實現(xiàn)。利用超聲波檢測往往比較迅速、方便、計算簡單、易于做到實時控制,并且在測量精度方面能達到工業(yè)實用的要求,因此在移動機器人的研制上也得到了廣泛應用。過去,許多技術因為無法探測到物體組織內(nèi)部而受到阻礙,影響了測量結果的準確性和可靠性,超聲波傳感技術的出現(xiàn)改變了這種狀況。在未來的應用中,超聲波將與信息技術、新材料技術結合起來,將出現(xiàn)更多的智能化、高靈敏度的超聲波傳感器。本設計有
2、效地解決了傳統(tǒng)身高測量中手工操作和機械操作速度慢、噪音大的弊端,是一種集高科技、智能化、自動化于一體的體質(zhì)測量儀器,備受市場青睞。實驗證明,這套系統(tǒng)軟硬件設計合理、結構精巧、操作方便、實用性強、實時性好,經(jīng)過系統(tǒng)擴展和升級,可廣泛應用于醫(yī)院、學校、機場等公共場所的體質(zhì)測量。關鍵詞:EDA 超聲波 測量儀Abstract With the rapid development of science and technology, ultrasonic sensor application in more and more widely.As the ultrasonic point to stro
3、ng, energy consumption is slow, the spread in the medium distance, and therefore often used in ultrasonic distance measurements, such arange finders and Meter and more can be achieved by ultrasound.Ultrasonic testing is often used more rapid, convenient, simple to compute, easy to do real-time contr
4、ol and measurement precision can be achieved in the industrial and practical requirements, so the mobile Robot have also been widely used.In the past, many technology because they can not detect objects within the organization have been hampered, affecting the accuracy and reliability of measurement
5、, ultrasonic technology has changed the situation.Applications in the future, ultrasound will be information technology, new material technology combined, there will be more intelligent, high-sensitivity ultrasonic sensors. This design effectively address the traditional manual measurement of height
6、 and mechanical operation speed, noisy by the market. drawbacks, is a set of high-tech, intelligent, automated measuring instruments in one body, much favored. Experiments have proved that the system software and hardware design is reasonable, structural compact, easy to operate, practical, real tim
7、e, the system expansion and upgrading, and is widely used in hospitals, schools, the airport, public health measure.Keywords :Ultrasonic measurement high-sensitivity目 錄摘 要ABSTRACT 目 錄引 言11 超聲波2 1.1超聲波的介紹21.2超聲波發(fā)生器61.3壓電式超聲波發(fā)生器6 2傳感器 63超聲波傳感器 84 EDA的設計 104.1 EDA設計思路 104.2超聲波測量儀原理104.3 EP25Q208C8芯片的介紹
8、124.4傳感器和其外圍以及放大電路的設計15 4.5 VHDL頂層文件154.6傳感器產(chǎn)生信號174.7A/D轉(zhuǎn)換184.8 計時模塊184.9 3/8譯碼器部分18 4.10顯示模塊21結 束 語30參 考 文 獻 31致 謝3230引 言本次設計電路采用超聲波在空氣中運行原理設計的一種光機電一體化的身高測量儀,該測量儀是由EP2C5Q208C8作為中央控制系統(tǒng),超聲波發(fā)射電路由發(fā)射驅(qū)動電路和設于該驅(qū)動電路輸出端的超聲波換能器構成,超聲波接收電路由超聲波接收換能器、限位電路和超聲波接收集成塊電路構成,能測量的最大距離為10m,測試分辨力為1cm,距離顯示用三位數(shù)的發(fā)光二極管,最大顯示距離為
9、99cm。要求傳感器要有較好的方向性,并對脈沖響應、發(fā)送和接收的頻帶范圍要寬。因此,本課題的研究是非常有實用和商業(yè)價值。 1 超聲波1.1超聲波的介紹聲波是物體機械振動狀態(tài)(或能量)的傳播形式。所謂振動是指物質(zhì)的質(zhì)點在其平衡位置附近進行的往返運動形式。譬如,鼓面經(jīng)敲擊后,它就上下振動,這種振動狀態(tài)通過空氣媒質(zhì)向四面八方傳播,這便是聲波。 超聲波是指振動頻率大于20000Hz以上的,其每秒的振動次數(shù)(頻率)甚高,超出了人耳聽覺的上限(20000Hz),人們將這種聽不見的聲波叫做超聲波。超聲和可聞聲本質(zhì)上是一致的,它們的共同點都是一種機械振動模式,通常以縱波的方式在彈性介質(zhì)內(nèi)會傳播,是一種能量的傳
10、播形式,其不同點是超聲波頻率高,波長短,在一定距離內(nèi)沿直線傳播具有良好的束射性和方向性,目前腹部超聲成象所用的頻率范圍在 25兆Hz之間,常用為33.5兆Hz(每秒振動1次為1Hz,1兆Hz=106Hz,即每秒振動100萬次,可聞波的頻率在1620,000HZ 之間)。超聲波是聲波大家族中的一員。理論研究表明,在振幅相同的條件下,一個物體振動的能量與振動頻率成正比,超聲波在介質(zhì)中傳播高,因而能量很大.在我國北方干燥的冬季,如果把超聲波通入水罐中,劇烈的振動會使罐中的水破碎成許多小霧滴,再用小風扇把霧滴吹入室內(nèi),就可以增加室內(nèi)空氣濕度.這就是超聲波加濕器的原理.如咽喉炎、氣管炎等疾病,很難利用血
11、流使藥物到達患病的部位.利用加濕器的原理,把藥液霧化,讓病人吸入,能夠提高療效.利用超聲波巨大的能量還可以使人體內(nèi)的結石做劇烈的受迫振動而破碎,從而減緩病痛,達到治愈的目的。超聲波在醫(yī)學方面應用非常廣泛,像現(xiàn)在的彩超、B超、碎石(例如膽結石、腎結石祛眼袋 之類的)等。超聲波在媒質(zhì)中的反射、折射、衍射、散射等傳播規(guī)律,與可聽聲波的規(guī)律并沒有本質(zhì)上的區(qū)別。但是超聲波的波長很短,只有幾厘米,甚至千分之幾毫米。與可聽聲波比較,超聲波具有許多奇異特性:傳播特性超聲波的波長很短,通常的障礙物的尺寸要比超聲波的波長大好多倍,因此超聲波的衍射本領很差,它在均勻介質(zhì)中能夠定向直線傳播,超聲波的波長越短,這一特性
12、就越顯著。功率特性當聲音在空氣中傳播時,推動空氣中的微粒往復振動而對微粒做功。聲波功率就是表示聲波做功快慢的物理量。在相同強度下,聲波的頻率越高,它所具有的功率就越大。由于超聲波頻率很高,所以超聲波與一般聲波相比,它的功率是非常大的??栈饔卯敵暡ㄔ谝后w中傳播時,由于液體微粒的劇烈振動,會在液體內(nèi)部產(chǎn)生小空洞。這些小空洞迅速脹大和閉合,會使液體微粒之間發(fā)生猛烈的撞擊作用,從而產(chǎn)生幾千到上萬個大氣壓的壓強。微粒間這種劇烈的相互作用,會使液體的溫度驟然升高,起到了很好的攪拌作用,從而使兩種不相溶的液體發(fā)生乳化,并且加速溶質(zhì)的溶解,加速化學反應。這種由超聲波作用在液體中所引起的各種效應稱為超聲波的
13、空化作用。 頻率高于2×104赫的聲波。研究超聲波的產(chǎn)生、傳播、接收,以及各種超聲效應和應用的聲學分支叫超聲學。產(chǎn)生超聲波的裝置有機械型超聲發(fā)生器(例如氣哨、汽笛和液哨等)、利用電磁感應和電磁作用原理制成的電動超聲發(fā)生器、以及利用壓電晶體的電致伸縮效應和鐵磁物質(zhì)的磁致伸縮效應制成的電聲換能器等。 超聲波的兩個主要參數(shù)主要有頻率與功率密度。頻率:F20KHz(在實際應聲波是物體機械振動狀態(tài)(或能量)的傳播形式。所謂振動是指物質(zhì)的質(zhì)點在其平衡位置附近進行的往返運動形式。譬如,鼓面經(jīng)敲擊后,它就上下振動,這種振動狀態(tài)通過空氣媒質(zhì)向四面八方傳播,這便是聲波。 超聲波是指振動頻率大于20000
14、Hz以上的,其每秒的振動次數(shù)(頻率)甚高,超出了人耳聽覺的上限(20000Hz),人們將這種聽不見的聲波叫做超聲波。超聲和可聞聲本質(zhì)上是一致的,它們的共同點都是一種機械振動模式,通常以縱波的方式在彈性介質(zhì)內(nèi)會傳播,是一種能量的傳播形式,其不同點是超聲波頻率高,波長短,在一定距離內(nèi)沿直線傳播具有良好的束射性和方向性,目前腹部超聲成象所用的頻率范圍在 25兆Hz之間,常用為33.5兆Hz(每秒振動1次為1Hz,1兆Hz=106Hz,即每秒振動100萬次,可聞波的頻率在1620,000HZ 之間)。超聲波是聲波大家族中的一員。 理論研究表明,在振幅相同的條件下,一個物體振動的能量與振動頻率成正比,超
15、聲波在介質(zhì)中傳播時,介質(zhì)質(zhì)點振動的頻率很高,因而能量很大.在我國北方干燥的冬季,如果把超聲波通入水罐中,劇烈的振動會使罐中的水破碎成許多小霧滴,再用小風扇把霧滴吹入室內(nèi),就可以增加室內(nèi)空氣濕度.這就是超聲波加濕器的原理.如咽喉炎、氣管炎等疾病,很難利用血流使藥物到達患病的部位.利用加濕器的原理,把藥液霧化,讓病人吸入,能夠提高療效.利用超聲波巨大的能量還可以使人體內(nèi)的結石做劇烈的受迫振動而破碎,從而減緩病痛,達到治愈的目的。超聲波在醫(yī)學方面應用非常廣泛,像現(xiàn)在的彩超、B超、碎石(例如膽結石、腎結石祛眼袋 之類的)等。 超聲波在媒質(zhì)中的反射、折射、衍射、散射等傳播規(guī)律,與可聽聲波的規(guī)律并沒有本質(zhì)
16、上的區(qū)別。但是超聲波的波長很短,只有幾厘米,甚至千分之幾毫米。與可聽聲波比較,超聲波具有許多奇異特性:傳播特性超聲波的波長很短,通常的障礙物的尺寸要比超聲波的波長大好多倍,因此超聲波的衍射本領很差,它在均勻介質(zhì)中能夠定向直線傳播,超聲波的波長微粒做功。聲波功率就是表示聲波做功快慢的物理量。在相同強度下,聲波的頻率越高,它所具有的功率就越大。由于超聲波頻率很高,所以超聲波與一般聲波相比,它的功率是非常大的??栈饔卯敵暡ㄔ谝后w中傳播時,由于液體微粒的劇烈振動,會在液體內(nèi)部產(chǎn)生小空洞。這些小空洞迅速脹大和閉合,會使液體微粒之間發(fā)生猛烈的撞擊作用,從而產(chǎn)生幾千到上萬個大氣壓的壓強。微粒間這種劇烈的
17、相互作用,會使液體的溫度驟然升高,起到了很好的攪拌作用,從而使兩種不相溶的液體(如水和油)發(fā)生乳化,并且加速溶質(zhì)的溶解,加速化學反應。這種由超聲波作用在液體中所引起的各種效應稱為超聲波的空化作用。 頻率高于2×104赫的聲波。研究超聲波的產(chǎn)生、傳播、接收,以及各種超聲效應和應用的聲學分支叫超聲學。產(chǎn)生超聲波的裝置有機械型超聲發(fā)生器(例如氣哨、汽笛和液哨等)、利用電磁感應和電磁作用原理制成的電動超聲發(fā)生器、以及利用壓電晶體的電致伸縮效應和鐵磁物質(zhì)的磁致伸縮效應制成的電聲換能器等。 頻率:F20KHz(在實際應用中因為效果相似,通常把F15K的聲波也稱為超聲波); 功率密度:p=發(fā)射功率
18、(W)/發(fā)射面積(cm2);通常p0.3w/cm2; 在液體中傳播的超聲波能對物體表面的污物進行清洗,其原理可用“空化”現(xiàn)象來解釋:超聲波振動在液體中傳播的音波壓強達到一個大氣壓時,其功率密度為0.35w/cm2,這時超聲波的音波壓強峰值就可達到真空或負壓,但實際上無負壓存在,因此在液體中產(chǎn)生一個很大的壓力,將液體分子拉裂成空洞一空化核。此空洞非常接近真空,它在超聲波壓強反向達到最大時破裂,由于破裂而產(chǎn)生的強烈沖擊將物體表面的污垢撞擊下來。這種由無數(shù)細小的空化氣泡破裂而產(chǎn)生的沖擊波現(xiàn)象稱為“空化”現(xiàn)象。 太小的聲強無法產(chǎn)生空化效應。 超聲波具有如下特性: 1) 超聲波可在氣體、液體、固體、固熔
19、體等介質(zhì)中有效傳播。 2) 超聲波可傳遞很強的能量。 3) 超聲波會產(chǎn)生反射、干涉、疊加和共振現(xiàn)象。 4) 超聲波在液體介質(zhì)中傳播時,可在界面上產(chǎn)生強烈的沖擊和空化現(xiàn)象。 聲波是物體機械振動狀態(tài)的傳播形式。所謂振動是指物質(zhì)的質(zhì)點在其平衡位置附近進行的往返運動。譬如,鼓面經(jīng)敲擊后,它就上下振動,這種振動狀態(tài)通過空氣媒質(zhì)向四面八方傳播,這便是聲波。 超聲波是指振動頻率大于20KHz以上的,人在自然環(huán)境下無法聽到和感受到的聲波。 超聲波治療的概念: 超聲治療學是超聲醫(yī)學的重要組成部分。超聲治療時將超聲波能量作用于人體病變部位,以達到治療疾患和促進機體康復的目的。 在全球,超聲波廣泛運用于診斷學、治療
20、學、工程學、生物學等領域。賽福瑞家用超聲治療機屬于超聲波治療學的運用范疇。 1)工程學方面的應用:水下定位與通訊、地下資源勘查等 。 2)生物學方面的應用:剪切大分子、生物工程及處理種子等 。 3)診斷學方面的應用:A型、B型、M型、D型、雙功及彩超等 。 4)治療學方面的應用:理療、治癌、外科、體外碎石、牙科等 。 超聲波的特點有1)超聲波在傳播時,方向性強,能量易于集中。 2)超聲波能在各種不同媒質(zhì)中傳播,且可傳播足夠遠的距離。 3)超聲波與傳聲媒質(zhì)的相互作用適中,易于攜帶有關傳聲媒質(zhì)狀態(tài)的信息(診斷或?qū)髀暶劫|(zhì)產(chǎn)生效應)。(治療) 超聲波是一種波動形式,它可以作為探測與負載信息的載體或媒
21、介(如B超等用作診斷);超聲波同時又是一種能量形式,當其強度超過一定值時,它就可以通過與傳播超聲波的媒質(zhì)的相互作用,去影響,改變以致破壞后者的狀態(tài),性質(zhì)及結構(用作治療)。 1.2超聲波發(fā)生器 為了研究和利用超聲波,人們已經(jīng)設計和制成了許多超聲波發(fā)生器??傮w上講,超聲波發(fā)生器可以分為兩大類:一類是用電氣方式產(chǎn)生超聲波,一類是用機械方式產(chǎn)生超聲波。電氣方式包括壓電型、磁致伸縮型和電動型等;機械方式有加爾統(tǒng)笛、液哨和氣流旋笛等。它們所產(chǎn)生的超聲波的頻率、功率和聲波特性各不相同,因而用途也各不相同。目前較為常用的是壓電式超聲波發(fā)生器。 1.3壓電式超聲波發(fā)生器原理 壓電式超聲波發(fā)生器實際上是利用壓電
22、晶體的諧振來工作的。超聲波發(fā)生器內(nèi)部結構由兩個壓電晶片和一個共振板構成。當它的兩極外加脈沖信號,其頻率等于壓電晶片的固有振蕩頻率時,壓電晶片將會發(fā)生共振,并帶動共振板振動,便產(chǎn)生超聲波。反之,如果兩電極間未外加電壓,當共振板接收到超聲波時,將壓迫壓電晶片作振動,將機械能轉(zhuǎn)換為電信號,這時它就成為超聲波接收器了。2傳感器 傳感器通常指光電傳感器,它是采用光電元件作為檢測元件的傳感器。它首先把被測量的變化轉(zhuǎn)換成光信號的變化,然后借助光電元件進一步將光信號轉(zhuǎn)換成電信號。光電傳感器一般由光源、光學通路和光電元件三部分組成。光電檢測方法具有精度高、反應快、非接觸等優(yōu)點,而且可測參數(shù)多,傳感器的結構簡單,
23、形式靈活多樣,因此,光電式傳感器技術實驗臺在檢測和控制中應用非常廣泛. 光電式傳感器是以光電器件作為轉(zhuǎn)換元件的傳感器。它可用于檢測直接引起光量變化的非電量,如光強、光照度、輻射測溫、氣體成分分析等;也可用來檢測能轉(zhuǎn)換成光量變化的其他非電量,如零件直徑、表面粗糙度、應變、位移、振動、速度、加速度,以及物體的形狀、工作狀態(tài)的識別等。光電式傳感器具有非接觸、響應快、性能可靠等特點,因此在工業(yè)自動化裝置和機器人中獲得廣泛應用。近年來,新的光電器件不斷涌現(xiàn),特別是CCD圖像傳感器的誕生,為光電傳感器的進一步應用開創(chuàng)了新的一頁。 由光通量對光電元件的作用原理理不同所制成的光學測控系統(tǒng)是多種多樣的,按光電元
24、件(光學測控系統(tǒng))輸出量性質(zhì)可分二類,即模擬式光電傳感器和脈沖(開關)式光電傳感器.模擬式光電傳感器是將被測量轉(zhuǎn)換成連續(xù)變化的光電流,它與被測量間呈單值關系.模擬式光電傳感器按被測量(檢測目標物體)方法可分為透射(吸收)式,漫反射式,遮光式(光束阻檔)三大類.所謂透射式是指被測物體放在光路中,恒光源發(fā)出的光能量穿過被測物,部份被吸收后,透射光投射到光電元件上;式是指恒光源發(fā)出的光投射到被測物上,再從被測物體表面反射后投射到光電元件上;所謂遮光式是指當光源發(fā)出的光通量經(jīng)被測物光遮其中一部份,使投射剄光電元件上的光通量改變,改變的程度與被測物體在光路位置有關. 光敏二極管是最常見的光傳感器。 家電
25、實訓設備光敏二極管的外型與一般二極管一樣,只是它的管殼上開有一個嵌著玻璃的窗口,以便于光線射入,為增加受光面積,PN結的面積做得較大,光敏二極管工作在反向偏置的工作狀態(tài)下,并與負載電阻相串聯(lián),當無光照時,它與普通二極管一樣,反向電流很小(µA),稱為光敏二極管的暗電流;當有光照時,載流子被激發(fā),產(chǎn)生電子-空穴,稱為光電載流子。在外電場的作用下,光電載流子參于導電,形成比暗電流大得多的反向電流,該反向電流稱為光電流。光電流的大小與光照強度成正比,于是在負載電阻上就能得到隨光照強度變化而變化的電信號。 光敏三極管除了具有光敏二極管能將光信號轉(zhuǎn)換成電信號的功能外,還有對電信號放大的功能。光
26、敏三級管型與一般三極管相差不大,一般光敏三極管只引出兩個極發(fā)射極和集電極,基極不引出,管殼同樣開窗口,以便光線射入。為增大光照,基區(qū)面積做得很大,發(fā)射區(qū)較小,入射光主要被基區(qū)吸收。工作時集電結反偏,發(fā)射結正偏。在無光照時管子流過的電流為暗電流Iceo=(1+)Icbo(很?。?,比一般三極管的穿透電流還??;當有光照時,激發(fā)大量的電子-空穴對,使得基極產(chǎn)生的電流Ib增大,此刻流過管子的電流稱為光電流,集電極電流Ic=(1+)Ib,可見光電三極管要比光電二極管家電實驗臺具有更高的靈敏度。3超聲波傳感器超聲波傳感器是利用超聲波的特性研制而成的傳感器。超聲波是一種振動頻率高于聲波的機械波,由換能晶片在電
27、壓的激勵下發(fā)生振動產(chǎn)生的,它具有頻率高、波長短、繞射現(xiàn)象小,特別是方向性好、能夠成為射線而定向傳播等特點。超聲波對液體、固體的穿透本領很大,尤其是在陽光不透明的固體中,它可穿透幾十米的深度。超聲波碰到雜質(zhì)或分界面會產(chǎn)生顯射成回波,碰到活動物體能產(chǎn)生多普勒效應。因此超聲波檢測廣泛應用在工業(yè)、國防、生物醫(yī)學等方面。 以超聲波作為檢測手段,必須產(chǎn)生超聲波和接收超聲波。完成這種功能的裝置就是超聲波傳感器,習慣上稱為超聲換能器,或者超聲探頭。 以超聲波作為檢測手段,必須產(chǎn)生超聲波和接收超聲波。完成這種功能的裝置就是超聲波傳感器,習慣上稱為超聲換能器,或者超聲探頭。 超聲波探頭主要由壓電晶片組成,既可以發(fā)
28、射超聲波,也可以接收超聲波。小功率超聲探頭多作探測作用。它有許多不同的結構,可分直探頭(縱波)、斜探頭(橫波)、表面波探頭(表面波)、蘭姆波探頭(蘭姆波)、雙探頭(一個探頭反射、一個探頭接收)等。 超聲探頭的核心是其塑料外套或者金屬外套中的一塊壓電晶片。構成晶片的材料可以有許多種。晶片的大小,如直徑和厚度也各不相同,因此每個探頭的性能是不同的,使用前必須預先了解它的性能。 組成部分超聲波探頭主要由壓電晶片組成,既可以發(fā)射超聲波,也可以接收超聲波。小功率超聲探頭多作探測作用。它有許多不同的結構,可分直探頭(縱波)、斜探頭(橫波)、表面波探頭(表面波)、蘭姆波探頭(蘭姆波)、雙探頭(一個探頭反射、
29、一個探頭接收)等。 性能指標超聲探頭的核心是其塑料外套或者金屬外套中的一塊壓 電晶片。構成晶片的材料可以有許多種。晶片的大小,如直徑和厚度也各不相同,因此每個探頭的性能是不同的,我們使用前必須預先了解它的性能。超聲波傳感器的主要性能指標包括: 1)工作頻率。工作頻率就是壓電晶片的共振頻率。當加到它兩端的交流電壓的頻率和晶片的共振頻率相等時,輸出的能量最大,靈敏度也最高。 2)工作溫度。由于壓電材料的居里點一般比較高,特別是診斷用超聲波探頭使用功率較小,所以工作溫度比較低,可以長時間地工作而不失效。醫(yī)療用的超聲探頭的溫度比較高,需要單獨的制冷3)靈敏度。主要取決于制造晶片本身。機電耦合系數(shù)大,靈
30、敏度高;反之,靈敏度低。超聲波傳感技術應用在生產(chǎn)實踐的不同方面,而醫(yī)學應用是其最主要的應用之一,下面以醫(yī)學為例子說明超聲波傳感技術的應用。超聲波在醫(yī)學上的應用主要是診斷疾病,它已經(jīng)成為了臨床醫(yī)學中不可缺少的診斷方法。超聲波診斷的優(yōu)點是:對受檢者無痛苦、無損害、方法簡便、顯像清晰、診斷的準確率高等。因而推廣容易,受到醫(yī)務工作者和患者的歡迎。超聲波診斷可以基于不同的醫(yī)學原理,我們來看看其中有代表性的一種所謂的A型方法。這個方法是利用超聲波的反射。當超聲波在人體組織中傳播遇到兩層聲阻抗不同的介質(zhì)界面是,在該界面就產(chǎn)生反射回聲。每遇到一個反射面時,回聲在示波器的屏幕上顯示出來,而兩個界面的阻抗差值也決
31、定了回聲的振幅的高低。 在工業(yè)方面,超聲波的典型應用是對金屬的無損探傷和超聲波測厚兩種。過去,許多技術因為無法探測到物體組織內(nèi)部而受到阻礙,超聲波傳感技術的出現(xiàn)改變了這種狀況。當然更多聲波傳感器是固定地安裝在不同的裝置上,“悄無聲息”地探測人們所需要的信號。在未來的應用中,超聲波將與信息技術、新材料技術結合起來,將出現(xiàn)更多的智能化、高靈敏度的超聲波傳感器。 4 EDA的設計4.1 EDA設計思路該超聲波測量儀可以實現(xiàn)2個功能:測距、顯示數(shù)據(jù)的功能,因此有2個子模塊:測距、顯示數(shù)據(jù)。其中顯示數(shù)據(jù)模塊有3部分構成:信號處理、計數(shù)、顯示。測量主要由超聲波傳感器完成。超聲波測量儀的原理是利用超聲波在空
32、氣中的傳播速度為已知,測量聲波在發(fā)射后遇到障礙物反射回來的時間,根據(jù)發(fā)射和接收的時間差計算出發(fā)射點到障礙物的實際距離。將發(fā)射和接收的時間差轉(zhuǎn)換為電信號,再有A/D轉(zhuǎn)換器得到數(shù)字信號,然后將數(shù)字信號送入到計數(shù)部分,再到顯示。4.2超聲波測量儀原理本設計是以超聲波作為檢測手段,必須產(chǎn)生超聲波和接收超聲波。傳感器通過聲波的波長和發(fā)射聲波以及接收到返回聲波的時間差就能確定人體的身高,在發(fā)送脈沖的同時,接收器的計數(shù)器啟動并計數(shù),直至接收傳感器接收反射回波后,計數(shù)停止,該時間差相當于測量的距離,從而可測算出測量儀與頭頂之間的距離,即人體的身高。 超聲波測量儀的原傳播速度為已知,測量聲波在發(fā)射后遇到障礙物反
33、射回來的時間,根據(jù)發(fā)射和接收的時間差計算出發(fā)射點到障礙物的實際距離。由此可見,超聲波測距原理與雷達原理是一樣的。測量的公式表示為:L=C×T式中:L為測量的距離長度;C為超聲波在空氣中的傳播速度;T為測量距離傳播的時間差(T為發(fā)射到接收時間數(shù)值的一半)。超聲波測量儀主要應用于醫(yī)院、學校、機場等公共場所的體質(zhì)測量,雖然目前的測距量程上能達到百米,但測量的精度往往只能達到厘米數(shù)量級。由于超聲波易于定向發(fā)射、方向性好、強度易控制、與被測量物體不需要直接接觸的優(yōu)點,是作為液體高度測量的理想手段。在精密的液位測量中需要達到毫米級的測量精度,但是目前國內(nèi)的超聲波測距專用集成電路都是只有厘米級的測
34、量精度。通過分析超聲波測量儀誤差產(chǎn)生的原因,提高測量時間差到微秒級,以及用LM92溫度傳感器進行聲波傳播速度的補償后,我們設計的高精度超聲波測量儀能達到毫米級的測量精度。超聲波測距誤差分析根據(jù)超聲波測量公式L=C×T,可知測量的誤差是由超聲波的傳播速度誤差和測量距離傳播的時間誤差引起的。時間誤差當要求測距誤差小于1mm時,假設已知超聲波速度C=344m/s (20室溫),忽略聲速的傳播誤差。測距誤差st<(0.001/344) 0.000002907s 即2.907ms。在超聲波的傳播速度是準確的前提下,測量距離的傳播時間差值精度只要在達到微秒級,就能保證測距誤差小于1mm的誤
35、差。使用的12MHz晶體作時鐘基準的89C51單片機定時器能方便的計數(shù)到1s的精度,因此系統(tǒng)采用89C51定時器能保證時間誤差在1mm的測量范圍內(nèi)。超聲波傳播速度誤差超聲波的響,空氣的密度越高則超聲波的傳播速度就越快,而空氣的密度又與溫度有著密切的關系,如表1所示。已知超聲波速度與溫度的關系如下:式中: r 氣體定壓熱容與定容熱容的比值,對空氣為1.40, R 氣體普適常量,8.314kg·mol-1·K-1, M氣體分子量,空氣為28.8×10-3kg·mol-1, T 絕對溫度,273K+T。近似公式為:C=C0+0.607×T式中:C0為
36、零度時的聲波速度332m/s; T為實際溫度()。對于超聲波測量精度要求達到1mm時,就必須把超聲波傳播的環(huán)境溫度考慮進去。例如當溫度0時超聲波速度是332m/s, 30時是350m/s,溫度變化引起的超聲波速度變化為18m/s。若超聲波在30的環(huán)境下以0的聲速測量100m距離所引起的測量誤差將達到5m,測量1m誤差將達到5mm。 4.3 EP2C5Q208C8芯片的介紹微處理器是本系統(tǒng)的核心,其性能的好壞直接影響系統(tǒng)的穩(wěn)定,鑒于本系統(tǒng)為實時控制系統(tǒng),系統(tǒng)運行時需要進行大量的運算,所以本文設計了一個基于VHDL的VGA顯示控制木塊,通過FPGA制圖像與時序信號,軟件的開發(fā)環(huán)境是ALTERA公司
37、的Quartus6.0。設計運用VHDL語言編程,配置加載FPGA芯片,經(jīng)FPGA處理,信號通過D/A轉(zhuǎn)換器,由VGA接口輸出,設計的 主控制器采用ALTERA公司的EP2C5Q208C8芯片。圖4.1 EP2C5Q208C8芯片本系統(tǒng)就是選用了ALTERA公司的ACEX1K系列的EP2C5Q208C8芯片,它具有144個引腳,其中102個I/O通信口,有4608個邏輯單元,內(nèi)部RAM達119808位,內(nèi)部乘法器可達26單元,最大用戶I/O達143個,這些豐富的資源能夠滿足電渦流緩速器控制器各模塊的設計需求,邏輯單元的使用率為65%,RAM使用率為45%。本設計方法提高了系統(tǒng)的集成度和可靠性并
38、且降低了功耗,F(xiàn)PGA的可重構性大大方便了系統(tǒng)將來的升級,而不需要改變原來的電路布線。此芯片具有安裝下載,自動綜合處理數(shù)據(jù)的功能。引腳的配置及功能分配情況如表所示: 圖4.2 EP2C5Q208C8芯片VCC:供電電壓。GND:接地。I/O接口芯片 這些都是集成電路,通過CPU輸入不同的命令和參數(shù),并控制相關的I/O電路和簡單的外設作相應的操作,常見的接口芯片如定時計數(shù)器、中斷控制器、DMA控制器、并行接口等。CLK口:提供給移位寄存器的移位脈沖,每一個脈沖將引起數(shù)據(jù)移入或移出一位。數(shù)據(jù)口上的數(shù)據(jù)必須與時鐘信號協(xié)調(diào)才能正常傳送數(shù)據(jù),數(shù)據(jù)信號的頻率必須是時鐘信號的頻率的1/2倍。在任何情況下,當
39、時鐘信號有異常時,會使整板顯示雜亂無章。4.4傳感器和其外圍以及放大電路設計傳感器實際上是一種將質(zhì)量信號轉(zhuǎn)變?yōu)榭蓽y量的電信號輸出的裝置。用傳感器首先要考慮傳感器所處的實際工作環(huán)境,這點對正確使用傳感器至關重要,它關系到傳感器能否正常工作以及它的安全和使用壽命,乃至整個衡器的可靠性和安全性。因此傳感器外圍電路的抗干擾能力是數(shù)據(jù)采集部分電路設計的關鍵環(huán)節(jié)。傳感器檢測電路的功能是把電阻應變片的電阻變化轉(zhuǎn)變?yōu)殡妷狠敵觯捎诨菟沟请姌蚓哂泻芏鄡?yōu)點,如可以抑制溫度變化的影響,可以抑制側(cè)向力干擾,可以比較方便的解決稱重傳感器的補償問題等,又因為全橋等臂電橋的靈敏度最高,各臂參數(shù)一致,各種干擾的影響容易相互抵
40、消,所以在本設計中選用最終方案我們選擇的是上海開沐自動化有限公司生產(chǎn)的NS-TH1系列稱重傳感器,額定載荷20Kg,該稱重傳感器均采用全橋式等臂電橋。由于傳感器輸出的電壓信號很小,是mV級的電壓信號,因此為了提高系統(tǒng)的抗干擾能力,在傳感器外圍電路的設計過程中,增加了由普通運放設計的差動放大器增益調(diào)節(jié)電阻Rg選用10K 電阻,是為了滿足系統(tǒng)抗干擾的要求而設計。4.5 VHDL頂層設計 頂層文件就是用元件例化格式將顯示模塊、計時模塊、分頻模塊組合在一起。其原代碼如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGN
41、ED.ALL;ENTITY clock ISPORT( clk:IN STD_LOGIC; enable:IN STD_LOGIC; sel:OUT STD_LOGIC_VECTOR(2 DOWNTO 0); -choose:OUT STD_LOGIC_VECTOR(7 DOWNTO 0); segment:OUT STD_LOGIC_VECTOR(6 DOWNTO 0);END clock;ARCHITECTURE rt1 OF clock ISCOMPONENT clk_div100PORT(clk:IN STD_LOGIC; clk_div:out STD_LOGIC);end comp
42、onent;component time_counterPORT( enable:IN STD_LOGIC; clk0:IN STD_LOGIC; sec10:OUT STD_LOGIC_VECTOR(2 DOWNTO 0); sec:OUT STD_LOGIC_VECTOR(3 DOWNTO 0); seec10:OUT STD_LOGIC_VECTOR(3 DOWNTO 0); seec:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);end component;component displayPORT( clk:IN STD_LOGIC; sec10:IN STD_L
43、OGIC_VECTOR(2 DOWNTO 0); sec:IN STD_LOGIC_VECTOR(3 DOWNTO 0); seec10:IN STD_LOGIC_VECTOR(3 DOWNTO 0); seec:IN STD_LOGIC_VECTOR(3 DOWNTO 0); sel:out STD_LOGIC_VECTOR(2 DOWNTO 0); -choose:out STD_LOGIC_VECTOR(7 DOWNTO 0); segment:OUT STD_LOGIC_VECTOR(6 DOWNTO 0);end component; signal sec10: STD_LOGIC_
44、VECTOR(2 DOWNTO 0); signal sec: STD_LOGIC_VECTOR(3 DOWNTO 0); signal seec10: STD_LOGIC_VECTOR(3 DOWNTO 0); signal seec: STD_LOGIC_VECTOR(3 DOWNTO 0); signal clk0:STD_LOGIC;beginu0:clk_div100 PORT MAP(clk,clk0);u1:time_counter PORT MAP(enable,clk0, sec10,sec,seec10,seec);-u2:display PORT MAP(clk, sec
45、10,sec,seec,seec10, choose, segment);u2:display PORT MAP(clk, sec10,sec,seec10,seec,sel,segment);end rt1; 4.6傳感器產(chǎn)生信號clk 超聲波測距儀傳感器產(chǎn)生信號是外部信號經(jīng)10次分頻后得到的。作為計數(shù)脈沖,clk_1輸出100Hz時鐘實際上,該模塊就是一個10進制計數(shù)器的分頻電路,并且為實現(xiàn)嚴格的同步,該模塊采用了同步計數(shù)器電路。其原代碼如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;E
46、NTITY clk_div10 ISPORT(clk:IN STD_LOGIC; clk_div:out STD_LOGIC);END clk_div10;ARCRE rt1 OF clk_div10 ISSIGNAL q_tmp:integer range 0 to 9;BEGINprocess(clk)beginIF(clk'event and clk='1')then if(q_tmp=9)then q_tmp<=0; else q_tmp<=q_tmp+1; end if;end if;end process;process(clk)beginIF(
47、clk'event and clk='1')then if(q_tmp=9)then clk_div<='1' else clk_div<='0' end if;end if;end process;end rt1;4.7 A/D轉(zhuǎn)換 A/D轉(zhuǎn)換結果D與被測量x存在以下關系: (3-9)式中:S傳感器及其測量電路的靈敏度(即被測量X轉(zhuǎn)換成電壓U的轉(zhuǎn)換系數(shù)) K放大器的放大倍數(shù) A/D轉(zhuǎn)換器滿量程輸入電壓 A/D轉(zhuǎn)換器滿量程輸出數(shù)字而被測量X總是以其測量數(shù)字N和測量單位x1表示 (3-10)將式(3-10)代入(3-9)得 (3
48、-11)由上式可見只要滿足以下條件 (3-12)就可以使A/D轉(zhuǎn)換結果D與被測量x的數(shù)值N相等,即D=N,在這種情況下將A/D轉(zhuǎn)換結果作為被測量的數(shù)值傳送到顯示器顯示出來。4.8計時模塊 該模塊就是用元件例化格式將10進制計數(shù)器組合在一起,構成距離顯示的各個位的數(shù)據(jù)。其原代碼如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY count10 ISPORT( enable:IN STD_LOGIC; clk:IN STD_LOGIC; cout:out STD_LOGIC; q:OU
49、T STD_LOGIC_VECTOR(3 DOWNTO 0);END count10;ARCHITECTURE rt1 OF count10 ISSIGNAL q_tmp:STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINprocess(clk)beginIF(clk'event and clk='1')then if(enable='1')then if(q_tmp="1001")then q_tmp<=(others=>'0'); else q_tmp<=q_tmp+1; end
50、 if;end if;q<=q_tmp;end process;cout<='1'when q_tmp="1001"and enable='1' else'0'-cout<='1'when q_tmp="1001" else'0'end rt1;LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY time_counter ISPORT( enable:IN STD_LOGIC; clk0:IN STD_LOGIC;
51、 sec10:OUT STD_LOGIC_VECTOR(2 DOWNTO 0); sec:OUT STD_LOGIC_VECTOR(3 DOWNTO 0); seec10:OUT STD_LOGIC_VECTOR(3 DOWNTO 0); seec:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);END time_counter;ARCHITECTURE rt1 OF time_counter ISCOMPONENT count10PORT( enable:IN STD_LOGIC; clk:IN STD_LOGIC; cout:out STD_LOGIC; q:OUT ST
52、D_LOGIC_VECTOR(3 DOWNTO 0);end COMPONENT;SIGNAL co1,co2,co3,co4,co5,co6,co7:STD_LOGIC;BEGINU0:count10 PORT MAP(enable,clk0,co1,seec);U1:count10 PORT MAP(co1,clk0,co2,seec10);U2:count10 PORT MAP(co2,clk0,co3,sec);U3:count10 PORT MAP(co3,clk0,co4,sec10);end rt1;4.9 3/8譯碼器部分3-8譯碼器是常用的組合邏輯電路,其功能是對輸入碼(3位
53、碼)進行譯碼. 3-8譯碼器的輸入是3個腳,輸出是8個腳。用高低電平來表示輸入和輸出。輸入是二進制。3只腳也就是二進制數(shù)。輸入可以3位二進制數(shù)。3位二進制最大是111 也就是8。輸出是8個腳,表示10進制。是根據(jù)輸入的二進制數(shù)來輸出。如果輸入是101 那么就是第5只腳高電平,表示二進制數(shù)是5。 其實3-8譯碼器的功能就是把輸入的3位2進制數(shù)翻譯成10進制的輸出。此處其電路極其接法如圖:圖4.2 譯碼器的電路及其接法4.10顯示模塊顯示模塊的輸入信號主要來自于計時模塊部分的輸出信息。在輸出信號中,我們采用循環(huán)點亮兩個LED七段顯示數(shù)碼管顯示。我們通過信號來進行8個LED七段顯示數(shù)碼的選擇,從而將輸出信號送到相應的LED七段顯示數(shù)碼上完成秒表各位的結果顯示。模塊框圖如下:顯示器是常用的輸出器件。顯示器件種類很多,有LED發(fā)光二極管、LED數(shù)碼管、液晶顯示器LCD、陰極射線管CRT等。本電冰箱的電控系統(tǒng)使用的是LED數(shù)碼管。如4-3圖:圖4.3 LED數(shù)
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
- 4. 未經(jīng)權益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
- 6. 下載文件中如有侵權或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 定金合同簽訂技巧
- 科技期刊經(jīng)營模式創(chuàng)新
- 網(wǎng)絡安全行政人員聘用合同
- 娛樂場所電梯井道施工合同
- 智慧城市監(jiān)控施工合同模板
- 2024年綠色建筑認證施工單位勞動合同范本3篇
- 綠色建筑評價投標書
- 員工培訓合同范本
- 醫(yī)療意外處理協(xié)議
- 2024年跨境電商擔保免責合同模板3篇
- 中小學鐵路安全知識主題教育課件
- DB32T 4337-2022 可燃性粉塵除塵系統(tǒng)安全驗收規(guī)范
- 《國畫基礎》教案
- 三菱伺服電機
- 工程施工安全交底
- 中班聽課記錄15篇
- GB/T 8750-2022半導體封裝用金基鍵合絲、帶
- 體育科學研究方法學習通課后章節(jié)答案期末考試題庫2023年
- 2023天津市和平區(qū)七年級上學期語文期末試卷及答案
- 校園藝術節(jié)比賽評分表
- 挖機租賃協(xié)議(通用6篇)
評論
0/150
提交評論