![EDA課程設計交通燈控制系統(tǒng)設計_第1頁](http://file2.renrendoc.com/fileroot_temp3/2021-8/20/a0eb6426-4d91-4bde-961b-b869302cb1a4/a0eb6426-4d91-4bde-961b-b869302cb1a41.gif)
![EDA課程設計交通燈控制系統(tǒng)設計_第2頁](http://file2.renrendoc.com/fileroot_temp3/2021-8/20/a0eb6426-4d91-4bde-961b-b869302cb1a4/a0eb6426-4d91-4bde-961b-b869302cb1a42.gif)
![EDA課程設計交通燈控制系統(tǒng)設計_第3頁](http://file2.renrendoc.com/fileroot_temp3/2021-8/20/a0eb6426-4d91-4bde-961b-b869302cb1a4/a0eb6426-4d91-4bde-961b-b869302cb1a43.gif)
![EDA課程設計交通燈控制系統(tǒng)設計_第4頁](http://file2.renrendoc.com/fileroot_temp3/2021-8/20/a0eb6426-4d91-4bde-961b-b869302cb1a4/a0eb6426-4d91-4bde-961b-b869302cb1a44.gif)
![EDA課程設計交通燈控制系統(tǒng)設計_第5頁](http://file2.renrendoc.com/fileroot_temp3/2021-8/20/a0eb6426-4d91-4bde-961b-b869302cb1a4/a0eb6426-4d91-4bde-961b-b869302cb1a45.gif)
版權說明:本文檔由用戶提供并上傳,收益歸屬內容提供方,若內容存在侵權,請進行舉報或認領
文檔簡介
1、安 徽 礦 業(yè) 職 業(yè) 技 術 學 院 課 程 設 計eda課程設計 交通燈控制系統(tǒng)設計l 姓 名:許朝亮l 專 業(yè): 應用電子技術 l 班 級:101l 課程設計題目:交通燈控制系統(tǒng)l 指 導 教 師:l 學 號:l 設 計 時 間:2012-01-(0411)- 36 -前言電子設計自動化(eda)是近幾年迅速發(fā)展起來的計算機軟件、硬件和微電子技術交叉形成的現(xiàn)代電子設計技術,其含義已經(jīng)不局限在當初的類似protel電路版圖設計自動化的概念,目前eda技術更多的是指芯片內的電路設計自動化。也就是說,開發(fā)人員完全可以通過自己設計電路來定制其芯片內部的電路功能,使之成為專用集成電路(asic)芯
2、片,這就是當今的用戶可編程邏輯器件(pld)技術。目前,在世界范圍內,可編程邏輯器件受到了業(yè)界的普遍歡迎,在近幾年得到了迅速的發(fā)展,其集成度和工作速度不斷提高,功能不斷完善,已經(jīng)成為當今實現(xiàn)電子系統(tǒng)集成化的重要工具。因此,eda技術勢必成為廣大電子信息工程技術人員必須掌握的技術,運用eda技術設計電子系統(tǒng)也是一個電子工程師必備的技能。隨著交通工具的迅速發(fā)展,私家車輛是越來越多,道路已經(jīng)變得十分擁堵,因此這對那些十字路口的紅綠燈要求十分嚴格,紅綠燈能否正常運行關系到交通事故和駕駛員、行人的生命安全,因此交通燈的設計將會變得十分嚴格,決不允許有半點的差錯,這就要求設計人員具有崇高的電子信息工程技術
3、知識和設計思想,具有非常細心的設計態(tài)度。目錄1緒論- 1 1.1簡介- 1 1.2eda 發(fā)展歷程- 1 1.3摘要- 1 2. quartusii6.0 的使用方法- 2 3. 交通燈的設計目的和要求- 19 3.1設計目的- 19 3.2設計要求- 19 4 交通的設計分析- 20 4.1 設計思路- 20 4.2整體設計方案框架圖- 21 4.3交通燈控制器狀態(tài)控制表- 21 4.4設計流程圖- 21 5 分頻模塊235.1 分頻模塊的功能- 23 5.2 分頻模塊的源程序- 23 5.3 分頻模塊的功能仿真圖- 24 6. 控制模塊246.1控制模塊功能- 24 6.2 控制模塊源程序
4、- 25 6.3 控制模塊功能仿真圖- 26 7. 選擇模塊- 26 7.1 選擇模塊的功能- 26 7.2 選擇模塊的源程序- 27 7.3 選擇程序的功能仿真圖- 29 8. led顯示模塊- 30 8.1 led顯示模塊的功能- 30 82 led顯示模塊的源程序- 30 8.3 led顯示模塊的功能仿真圖- 31 9. 頂層文件原理圖設計329.1頂層文件原理圖- 32 9.2 頂層原理圖的功能仿真波形圖- 33 10.交通燈的測試結果- 34 11.課程設計總結- 35 參考文獻- 36 1緒論1.1簡介 電子設計自動化(electronic design automation ,e
5、da)技術以計算機為基礎工作平臺,以微電子技術為物理基礎,以現(xiàn)代電子設計技術為靈魂,采用計算機軟件工具,最終實現(xiàn)電子系統(tǒng)或專用集成電路(asica)的設計。eda技術的使用者包括兩類:一類是專用集成電路芯片的設計研發(fā)人員;另一類是廣大電子線路設計人員。eda技術可簡單概括為以大規(guī)模可編程邏輯器件為設計載體,通過硬件描述語言或邏輯圖輸入給相應eda開發(fā)軟件,經(jīng)過編譯和仿真,最終將設計的電路下載到設計載體中,從而完成系統(tǒng)設計任務的一門新技術。 1.2eda 發(fā)展歷程從目前的eda技術來看,其發(fā)展趨勢是政府重視,使用普及、應用廣泛、工具多樣、軟件功能強大。隨著微電子技術與工具軟件的發(fā)展,eda技術的
6、硬件載體、軟件開發(fā)工具與設計輸入方式都發(fā)生了很大的變化。1.3摘要本課程設計側重于邏輯電路設計同時采用vhdl硬件描述語言輔助完成對十字路口交通燈電路的功能仿真。在設計過程中,重點探討了交通燈控制系統(tǒng)的設計思路和功能模塊的劃分,對設計過程中出現(xiàn)的問題詳細進行分析。系統(tǒng)主要由四個模塊組成: 分頻模塊、控制模塊、選擇模塊、數(shù)碼顯示模塊。關鍵詞:交通燈 功能模塊 vhdl語言2. quartusii6.0 的使用方法啟動quartus ii6.0雙擊桌面上的quartus ii6.0圖標或單擊“開始”按鈕并在程序菜單中選擇quartus ii6.0,可以啟動quartus ii6.0.編輯文件(1)
7、單擊菜單欄中的filenew命令,打開“new”對話框,用于輸入文件類型。(2)單擊“new”對話框中的device design files選項卡,選擇輸入文件的類型。這里選擇“block diagram/schematic file”,選好后單擊“ok”按鈕,打開圖形編輯窗口。輸入原理圖(1)右擊鼠標,彈出快捷菜單,點擊“insertsymbol”,會出現(xiàn)一個輸入原理符號的窗口(2)右擊鼠標,彈出快捷菜單,點擊“insertsymbol”,會出現(xiàn)一個輸入原理符號的窗口。本實訓中所用的原件74151、74161在othersmaxplus2庫中,輸入端口信號、輸出端口信號在primitive
8、spin中,電源信號(vcc)、接地信號(gnd)在primitivesother庫中。在畫連線時,把光標置于端口處,當出現(xiàn)十字形時按下左鍵進行畫線。其余的操作與protel相似。(3)保存所建立的文件,將該文件保存到d盤design文件夾中,文件名為ex1-v。創(chuàng)建工程(1)打開創(chuàng)建工程向導a:單擊filenew preject wizard菜單,出現(xiàn)新建工程向導的對話框,b:單擊”nex”按鈕,出現(xiàn)工程基本設置對話框。c:在最上面的輸入框中輸入工作庫文件夾的地址,這里用的地址是d:dsigns,單擊旁邊瀏覽按鈕,選擇所需的設計文件。d:輸入該工程的名稱,一般可以用頂層文件的名稱作為工程名稱
9、,這里的頂層文件名是ex1-v。最下面的文件名輸入框要求輸入頂層設計文件實體的名稱,這里頂層文件的實體的名稱也是ex1-v。單擊“打開”按鈕,會出現(xiàn)一個工程設置完成對話框。(2)將設計文件加入工程中單擊“nex”按鈕,彈出添加文件對話框,將與本工程有關的文件加入,(3)選擇目標芯片單擊“nex”按鈕,打開選擇目標芯片的對話框,根據(jù)系統(tǒng)設計的實際需要選擇目標芯片。首先在family欄選擇芯片系列,這里選擇cylone系列的ep1c3t144c8芯片。(4)選擇仿真器和綜合器類型.a:單擊“nex”按鈕,彈出選擇仿真器和綜合器對話框。b:單擊“nex”按鈕,彈出工程設置統(tǒng)計窗口。最后單擊“fini
10、sh”按鈕,結束設置。目標芯片的配置。(1)選擇目標芯片,單擊菜單欄中的assignmentsdevice命令,彈出一個對話框,選擇category下的device選項,然后在右側選擇目標芯片ep1c3t144c8。(2)選擇目標器件編程配置方式單擊“device& pin options.”按鈕進入器件管腳操作對話框。首先選擇configuration方式為passive serial,這種方式可以直接由pc機配置。(3)選擇輸出配置單擊“programming files”選項卡,可以選“hexadecimal”,即產(chǎn)生下載文件的同時,產(chǎn)生十六進制配置文件。(4)選擇目標器件閑置引腳的狀態(tài)
11、單擊“unused pins”選項卡,可根據(jù)實際需要選擇目標器件空閑管腳的狀態(tài)。編譯(1)編譯單擊菜單欄中的processingstart compilation命令,啟動編譯(2)閱讀編譯報告編譯成功后可以看到編譯報告。仿真(1)建立波形文件仿真前必須建立波形文件。單擊filenew命令,打開文件選擇窗口,然后單擊“other files”選項卡,選擇其中的“vector waveform file”選項。(2)打開波形編譯器 單擊“ok”按鈕,即出現(xiàn)空白的波形編輯窗口(3)輸入信號節(jié)點。a: 單擊viewutility windowsnode finder 命令,打開輸入信號節(jié)點對話框。在
12、該對話框的filter欄中選pins:all,然后單擊“l(fā)ist”按鈕,在下方的“nodes found”列表框中會出現(xiàn)設計工程的所有端口引腳名。b:。用鼠標輸入節(jié)點,逐個拖到波形編輯窗口。然后單擊關閉按鈕,關閉“node finder”對話框。(4)編輯輸入波形分別給輸入管腳編輯波形,給輸入信號添加脈沖波形。(5)啟動仿真及閱讀仿真報告單擊菜單欄中的processingstart simulation命令,即可啟動仿真器,生成仿真波形圖。指定芯片管腳(1) 單擊菜單欄中的assignmentspin,打開芯片管腳編輯對話框。(2) 雙擊芯片的管腳,彈出“pin properties”對話框。
13、給管腳添加相應的信號。3. 交通燈的設計目的和要求3.1設計目的(1)掌握數(shù)字系統(tǒng)的設計流程;(2)掌握利用原理圖與vhdl混合設計數(shù)字系統(tǒng)的方法;(3)掌握交通燈的設計原理與設計實現(xiàn)。3.2設計要求1、能顯示十字路口東西、南北兩個方向的紅、黃、綠燈的指示狀態(tài),用兩組紅、黃、綠三色燈作為兩個方向的紅、黃、綠燈。2 、能實現(xiàn)正常的倒計時功能,用兩組數(shù)碼管作為東西、南北方向的倒計時顯示,顯示時間為紅燈45秒,綠燈40秒,黃燈5秒。3、能實現(xiàn)特殊狀態(tài)的功能。按s1鍵后,能實現(xiàn)以下特殊狀態(tài):(1)顯示倒計時的兩組數(shù)碼管閃爍。(2)計數(shù)器停止計數(shù)并保持在原來的狀態(tài)。(3)東西、南北路口均顯示紅燈狀態(tài)。(
14、4)特殊狀態(tài)解除后能繼續(xù)計數(shù)。4、能實現(xiàn)總體清零功能。按下sb鍵后,系統(tǒng)實現(xiàn)總體清零,計數(shù)器由初狀態(tài)計數(shù),對應狀態(tài)的指示燈亮。5、用vhdl語言設計上述功能的交通燈控制器,并用層次化的方法設計該電路。6、完成電路全部設計后,通過系統(tǒng)實驗箱下載嚴重所設計課題的正確性。4 交通的設計分析4.1 設計思路本實驗中主要應用了狀態(tài)機以及減法器的設計原理。在狀態(tài)連續(xù)變化的數(shù)字系統(tǒng)設計中,采用狀態(tài)機的設計思想有利于提高設計效率,增加程序的可讀性,減少錯誤的發(fā)生幾率。其中,主要模塊包括分頻模塊、控制模塊、選擇模塊、數(shù)碼顯示模塊。各個模塊先用eda技術中的vhdl語言編程仿真,再生成各個模塊的模擬元件,最后根據(jù)
15、設計連接電路生成頂層原理圖文件十字路口交通燈系統(tǒng)。4.2整體設計方案框架圖4.3交通燈控制器狀態(tài)控制表狀態(tài)主干道支干道時間/s1綠燈亮紅燈亮402黃燈亮紅燈亮53紅燈亮綠燈亮404紅燈亮黃燈亮54.4設計流程圖在程序流程圖中,ga、ra、ya表示a支路的綠燈、紅燈、黃燈,gb、rb、yb表示b支路的綠燈、紅燈、黃燈,s表示特殊功能按鍵,t表示計時的時間。5 分頻模塊5.1 分頻模塊的功能 該模塊的功能是將時間256分頻,得到占空比為1:256的方波。以達到將交通燈的電亮時間預置到分頻電路中。5.2 分頻模塊的源程序library ieee;use ieee.std_logic_1164.all
16、;use ieee.std_logic_unsigned.all;entity m isport ( clk : in std_logic; - - 時鐘信號輸入端;d: out std_logic; sel: buffer std_logic_vector(2 downto 0);- -sel端輸出;end;architecture one of m issignal a : std_logic_vector(7 downto 0);- - 定義變量a;beginprocess(clk)beginif clk event and clk =1 then a=a+1;sel=sel+1;end
17、 if;- - 上升沿有效end process;dyyy=0000;end case; end process;end;6.3 控制模塊功能仿真圖說明:由上圖得到sel的8個數(shù),在這里分成了000,001和others三種結果,分別控制shi,ge和清零的三種狀態(tài)。7. 選擇模塊7.1 選擇模塊的功能該模塊為整個程序的核心,它實現(xiàn)東西和南北方向的三種交通燈顏色的交替點亮、時間的倒計時。7.2 選擇模塊的源程序library ieee; use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity xuanze ispor
18、t(clk,clr:in std_logic;- -時鐘和清零信號的輸入;dx,nb:out std_logic_vector(2 downto 0);shi1,ge1:out std_logic_vector(3 downto 0) );end;architecture ad of xuanze issignal shi,ge:std_logic_vector(3 downto 0);- - 十位,個位的定義;type fsm_st is (s1,s0,s2,s3);signal state :fsm_st;beginprocess(clk,clr)beginif clr=1then sta
19、te=s0;ge=0000;shidx=100;nb=001;if ge=0000 then if shi=0000 then state=s1;ge=0101;shi=0000;else shi=shi-1;ge=1001;end if;else gedx=100;nb=010;if ge=0000 then if shi=0000 then state=s2;ge=0000;shi=0100;else shi=shi-1;ge=0000;end if;else gedx=001;nb=100;if ge=0000 then if shi=0000 then state=s3;ge=0101
20、;shi=0000;else shi=shi-1;ge=1001;end if;else gedx=010;nb=100;if ge=0000 then if shi=0000 then state=s0;ge=0000;shi=0100;else shi=shi-1;ge=0101;end if;else ge=ge-1;end if;end case;end if;shi1=shi;ge1yyyyyyyyyyyyyyyy=01000000;end case; end process; end;8.3 led顯示模塊的功能仿真圖說明:當由上面的程序確定q值時,顯示模塊通過判斷q值,把對應的值
21、賦值給y,由數(shù)碼管輸出可以看到的數(shù)字。9. 頂層文件原理圖設計9.1頂層文件原理圖9.2 頂層原理圖的功能仿真波形圖圖1:說明:圖1顯示的是nb=010,dx=100時,sel控制的是十位數(shù)為4,個位數(shù)為0;由圖可以看到sel=001時,y=01100110;led數(shù)碼管顯示的是40的數(shù)字,圖2:說明:圖2顯示的是nb=001,dx=100時,sel控制的是個位為5,十位數(shù)為0;由圖可以看到sel=000時,y=01101101;led數(shù)碼管顯示的是05的數(shù)字。 10.交通燈的測試結果 經(jīng)過測試得到如下結論: 當東西方向亮紅燈時,南北方向同時亮燈;南北方向黃綠燈交替點亮,紅燈45秒倒計時,黃燈
22、5秒倒計時之后,綠燈40秒倒計時;當兩個方向的數(shù)碼管同時為零時,南北方向亮紅燈,東西方向同時亮燈;東西方向黃綠燈交替點亮,紅燈45秒倒計時,黃燈5秒倒計時之后,綠燈40秒倒計時;當兩個方向的數(shù)碼管同時為零時;重復上述過程,當clk信號不斷輸出時,結果無限循環(huán)下去。說明本程序能完成交通燈的基本工作。11.課程設計總結一周的課程設計結束了,通過這次設計,更加熟悉quartus ii6.0的使用方法和vhdl硬件描述語言的特點,使我的理論知識掌握得更扎實,動手能力明顯提高。我們小組一共9個人,我們采取分工去完成任務,兩個人一小組,我主要負責顯示模塊這方面。我們通過分工協(xié)作,最后一起共同完成了這次課程設計任務,這次課程設計促進了我們小組分工和協(xié)作的能力,組與組之間相互討論,形成最終的結果。在設計過程中,我們有遇到過困難,我們課間、晚上的時間通過上網(wǎng)搜索,查找資料解決困難,有時真無法解決的就請教老師。在這次課程設計過程中,我們學會了利用許多方法解決所遇到的困難。這次設計,讓我感受最深的是:在設計的階段遇到的問題,我們一定要細心,有時一個程序就因為標點符號的不對,導致程序編譯不成功,其次,要具備檢查、排除故障的能力。這次課程設計,我深刻認識到了“理論聯(lián)系實際”的這句話的重要性與真實性。平常的我們也在機房進行練習,但那時的我就純粹為了敲一個程序,照書抄
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
- 4. 未經(jīng)權益所有人同意不得將文件中的內容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
- 6. 下載文件中如有侵權或不適當內容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 特殊人群的科學運動與健康管理
- 幼兒園的德育教育工作方案5
- 環(huán)氧涂料行業(yè)的投資價值及風險研究
- 手動葫蘆吊裝施工方案1
- 現(xiàn)代企業(yè)管理中的危機管理與領導力
- Module 1 Unit 1 Did you come back yesterday?(說課稿)-2024-2025學年外研版(三起)英語五年級上冊
- 1 古詩詞三首(說課稿)-2023-2024學年統(tǒng)編版語文四年級下冊001
- 2024年四年級英語上冊 Unit 2 My schoolbag The first period說課稿 人教PEP
- Unit 1 Science and Scientists Listening and Speaking說課稿+ 學案 高中英語同步備課系列人教版2019選擇性必修第二冊
- 2024年河南省《輔警招聘考試必刷500題》考試題庫含答案【綜合卷】
- 2024-2025學年成都市金牛區(qū)九年級上期末(一診)英語試題(含答案)
- 2025年高壓電工資格考試國家總局模擬題庫及答案(共四套)
- 2024-2025學年廣東省深圳市南山區(qū)監(jiān)測數(shù)學三年級第一學期期末學業(yè)水平測試試題含解析
- 廣東2024年廣東金融學院招聘專職輔導員9人筆試歷年典型考點(頻考版試卷)附帶答案詳解
- 2025年研究生考試考研英語(二204)試卷與參考答案
- DB31∕731-2020 船舶修正總噸單位產(chǎn)品能源消耗限額
- 2024-年全國醫(yī)學博士外語統(tǒng)一入學考試英語試題
- 初中物理典型易錯習題(380道)含解析和答案
- 吲哚菁綠血管造影檢查知情同意書
- 最新婚姻家庭心理講座主題講座課件
評論
0/150
提交評論