基于EDA的直流電機(jī)PWM控制設(shè)計(jì)畢業(yè)論文_第1頁(yè)
基于EDA的直流電機(jī)PWM控制設(shè)計(jì)畢業(yè)論文_第2頁(yè)
基于EDA的直流電機(jī)PWM控制設(shè)計(jì)畢業(yè)論文_第3頁(yè)
基于EDA的直流電機(jī)PWM控制設(shè)計(jì)畢業(yè)論文_第4頁(yè)
基于EDA的直流電機(jī)PWM控制設(shè)計(jì)畢業(yè)論文_第5頁(yè)
已閱讀5頁(yè),還剩21頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、摘要論文以直流電機(jī)為研究對(duì)象,應(yīng)用了fpga技術(shù),設(shè)計(jì)出了一種全數(shù)字的步進(jìn)電機(jī)控制系統(tǒng)。 本論文分析了直流電機(jī)工作原理及其具體的控制過(guò)程,并闡述了fpga的設(shè)計(jì)原理以及所涉及的相關(guān)芯片,然后對(duì)所用的硬件語(yǔ)言vhdl的知識(shí)進(jìn)行簡(jiǎn)要地介紹,這些為論文的具體設(shè)計(jì)提供了理論基礎(chǔ)。本系統(tǒng)針對(duì)實(shí)現(xiàn)直流電機(jī)的調(diào)速,設(shè)計(jì)了一種符合要求的并連續(xù)可調(diào)的脈沖信號(hào)發(fā)生器,對(duì)整個(gè)系統(tǒng)進(jìn)行模塊化設(shè)計(jì),并且每個(gè)子模塊都通過(guò)了仿真測(cè)試。系統(tǒng)采用模塊化的設(shè)計(jì)思路,使系統(tǒng)的設(shè)計(jì)和維護(hù)更加方便,也提高了系統(tǒng)性能的可擴(kuò)展性。fpga、vhdl以及eda工具構(gòu)成的數(shù)字系統(tǒng)集成技術(shù),是本設(shè)計(jì)的核心部分,該技術(shù)具有操作靈活、利用廣泛及價(jià)廉

2、等特點(diǎn)。系統(tǒng)設(shè)計(jì)采用全數(shù)字化的控制方案,使系統(tǒng)更緊湊、更合理及經(jīng)濟(jì)節(jié)約。由于系統(tǒng)的數(shù)字化,使整個(gè)系統(tǒng)運(yùn)行得十分可靠,調(diào)試也極為方便。關(guān)鍵詞:直流電機(jī),可編程門(mén)陣列,硬件描述語(yǔ)言abstractin this paper, dc motor as the study, with the application of fpga technology, designs an all-digital stepper motor control system.this paper analyzes the dc motor works, as well as its specific control p

3、rocess, describes the fpga design principles and the related chips those are involved, then gives a brief introduction on the knowledge of applied hardware language vhdl , all these provides a theoretical basis for the specific design sections of the paper.the system against the achievement of the d

4、c motor speed control, designs a continuously adjustable pulse signal generator that can meet the requirements, and modular programmings for the whole system, each sub-module has pasted the simulation tests. the system uses a modular design concept. its not only convenient for the system design and

5、maintenance, but also improves the performance of the system scalability. fpga, vhdl and eda tools constitute the field of digital system integration technology, as a core part of the system design, the skill with operational flexibility, cheap and widely use. the system uses a fully digital control

6、 scheme, making the system more compact, more rational and economical. because the systems all digital, the operation of the whole system become very reliable, the debugging is convenient, too.key words:dc motor, programmable gate array, vhdl目錄第1章 緒論11.1課題背景11.2研究的目的及其意義2第2章 電機(jī)的基本知識(shí)32.1直流電機(jī)的特點(diǎn)32.2直流

7、電機(jī)基本結(jié)構(gòu)32.2.1定子部分42.2.2轉(zhuǎn)子部分42.3直流電機(jī)工作原理52.4直流電機(jī)pwm調(diào)速原理5第3章 fpga與硬件描述語(yǔ)言73.1現(xiàn)場(chǎng)可編程邏輯器件73.2硬件描述語(yǔ)言設(shè)計(jì)方法73.2.1硬件描述語(yǔ)言發(fā)展概況73.2.2 eda簡(jiǎn)要介紹83.2.3采用硬件描述語(yǔ)言的設(shè)計(jì)流程8第4章 設(shè)計(jì)原理及其實(shí)現(xiàn)過(guò)程104.1直流電機(jī)pwm調(diào)速方案設(shè)計(jì)104.2fpga內(nèi)部邏輯組成114.3模塊設(shè)計(jì)和相應(yīng)模塊程序124.3.1 pwm脈沖調(diào)制信號(hào)電路模塊124.3.2 邏輯控制模塊164.4 電路的總仿真圖174.4.1正/反轉(zhuǎn)控制仿真184.4.2 啟/??刂品抡?84.4.3 加/減速仿

8、真194.4.4 仿真結(jié)果分析204.5總結(jié)21參考文獻(xiàn)22第1章 緒論1.1課題背景自從1985年xilinx公司推出第一片現(xiàn)場(chǎng)可編程邏輯器件(fpga)到現(xiàn)在,fpga已經(jīng)經(jīng)歷了二十幾年的發(fā)展歷程。在這幾十年的發(fā)展過(guò)程中,以fpga為代表的數(shù)字系統(tǒng)現(xiàn)場(chǎng)集成技術(shù)取得了驚人的發(fā)展?,F(xiàn)場(chǎng)可編程邏輯器件從剛開(kāi)始的1200個(gè)邏輯門(mén),發(fā)展到90年代的25萬(wàn)個(gè)邏輯門(mén),甚至到現(xiàn)今國(guó)際上fpga的著名廠商altera公司、xilinx公司又陸續(xù)推出了數(shù)百萬(wàn)門(mén)的單片fpga芯片,將現(xiàn)場(chǎng)可編程器件的集成度提高到一個(gè)新的水平。fpga的優(yōu)點(diǎn)可以歸納為如下幾點(diǎn):效能,上市時(shí)間,成本,可靠性和長(zhǎng)期維護(hù)五個(gè)方面。效能-

9、透過(guò)硬件的平行機(jī)制,fpga 可突破依序執(zhí)行 (sequential execution) 的固定遜算,并于每時(shí)脈循環(huán)完成更多作業(yè),超越了數(shù)位訊號(hào)處理器(dsp) 的計(jì)算功能。bdti 作為著名的分析公司,并于某些應(yīng)用中使用 dsp 解決方案,以計(jì)算 fpga 的處理效能。在硬件層級(jí)控制 i/o 可縮短回應(yīng)時(shí)間并特定化某些功能,以更符合應(yīng)用需求1。 上市時(shí)間-針對(duì)上市時(shí)間而言,fpga技術(shù)具有彈性與快速原型制作的功能。使用者不需進(jìn)行asic設(shè)計(jì)的冗長(zhǎng)建構(gòu)過(guò)程,就可以在硬件中測(cè)試或驗(yàn)證某個(gè)觀念。并僅需數(shù)個(gè)小時(shí)就可以建置其他變更作業(yè),或替換 fpga 設(shè)計(jì)?,F(xiàn)成的 (cots) 硬件也可搭配使用不

10、同種類的 i/o,并連接至使用者設(shè)定的 fpga 芯片。高級(jí)軟件工具正不斷提升其適用性,縮短了抽象層 (layer of abstraction) 的學(xué)習(xí)時(shí)間,并針對(duì)進(jìn)階控制與信號(hào)處理使用 ip cores (預(yù)先建立的方式)。 成本-asic 設(shè)計(jì)的非重置研發(fā) (nre) 費(fèi)用,遠(yuǎn)遠(yuǎn)超過(guò) fpga 架構(gòu)硬件解決方案的費(fèi)用。asic設(shè)計(jì)的初始投資,可簡(jiǎn)單認(rèn)列于 oem 每年所出貨的數(shù)千組芯片,但是許多末端使用者更需要定制硬件功能,以便用于開(kāi)發(fā)過(guò)程中的數(shù)百組系統(tǒng)。而可程序化芯片的特性,就代表了低成本的架構(gòu)作業(yè),或組裝作業(yè)的長(zhǎng)前置時(shí)間。由于系統(tǒng)需求隨時(shí)在變化,因此若與 asic 的龐大修改費(fèi)用相比

11、,fpga 設(shè)計(jì)的成本實(shí)在微不足道2??煽啃?正如軟件工具提供程序化設(shè)計(jì)的環(huán)境,fpga 電路也為程序化執(zhí)行的建置方式。處理器架構(gòu)的系統(tǒng)往往具有多個(gè)抽象層,得以協(xié)助多重處理程序之間的作業(yè)與資源分享。驅(qū)動(dòng)層 (driver layer) 控制硬件資源,而作為作業(yè)系統(tǒng)則管理記憶體和處理器頻寬。針對(duì)任何現(xiàn)有的處理器核心來(lái)說(shuō),每次僅可執(zhí)行1組指令碼;而處理器架構(gòu)的系統(tǒng)則可以連續(xù)處理重要作業(yè)。fpga 不需要使用作業(yè)系統(tǒng),并將產(chǎn)生問(wèn)題的幾率降到最低,采用平行執(zhí)行功能與專屬精密硬件執(zhí)行作業(yè)。長(zhǎng)期維護(hù)-fpga 芯片為即時(shí)升級(jí) (field-upgradable) 特性,不需要像asic 一般重新設(shè)計(jì)的時(shí)間

12、與費(fèi)用。fpga 具有可重設(shè)性質(zhì),可隨時(shí)因應(yīng)未來(lái)的需要而進(jìn)行修改。當(dāng)產(chǎn)品或系統(tǒng)趨于成熟時(shí),不需耗時(shí)重新設(shè)計(jì)或修改配置,即可提升相關(guān)功能3。1.2研究的目的及其意義直流電動(dòng)機(jī)因?yàn)榫哂辛己玫膯?dòng)性能和寬廣平滑的調(diào)速特性,從而被廣泛應(yīng)用于電力機(jī)車(chē)、無(wú)軌電車(chē)、軋鋼機(jī)、機(jī)床和啟動(dòng)設(shè)備等這些需要經(jīng)常啟動(dòng)并調(diào)速的電氣傳動(dòng)裝置中,直流發(fā)電機(jī)主要用作直流電源。此外,小容量直流電機(jī)大多在自動(dòng)控制系統(tǒng)中以伺服電動(dòng)機(jī)、測(cè)速發(fā)電機(jī)等形式作為測(cè)量、執(zhí)行原件使用。當(dāng)基于fpga的嵌入式系統(tǒng)時(shí),在設(shè)計(jì)周期之初就不必為每個(gè)模塊做出用硬件還是軟件的選擇。由于fpga中的邏輯單元是可編程的,可針對(duì)特定的應(yīng)用而定制硬件。所以,僅使用

13、所需要的硬件即可,而不必做出任何板級(jí)變動(dòng)(前提是fpga中的邏輯單元足夠用)。設(shè)計(jì)者不必轉(zhuǎn)換到另外一個(gè)新的處理器或者編寫(xiě)匯編代碼,就可做到這一點(diǎn)。使用帶有可配置處理器的fpga可獲得設(shè)計(jì)靈活性。設(shè)計(jì)者可以選擇如何實(shí)現(xiàn)軟件代碼中的每個(gè)模塊,如用定制指令,或硬件外圍電路。此外,還可以通過(guò)添加定制的硬件而獲取比現(xiàn)成微處理器更好的性能。另一點(diǎn)要知道的是,fpga有充裕的資源,可配置處理器系統(tǒng)可以充分利用這一資源。算法可以用軟件,也可用硬件實(shí)現(xiàn)。出于簡(jiǎn)便和成本考慮,一般利用軟件來(lái)實(shí)現(xiàn)大部分操作,除非需要更高的速度以滿足性能指標(biāo)。軟件可以優(yōu)化,但有時(shí)還是不夠的。如果需要更高的速度,利用硬件來(lái)加速算法是一個(gè)

14、不錯(cuò)的選擇。fpga使軟件模塊和硬件模塊的相互交換更加簡(jiǎn)便,不必改變處理器或進(jìn)行板級(jí)變動(dòng)。設(shè)計(jì)者可以在速度、硬件邏輯、存儲(chǔ)器、代碼大小和成本之間做出折衷。利用fpga可以設(shè)計(jì)定制的嵌入式系統(tǒng),以增加新的功能特性及優(yōu)化性能。目前,雖然由晶閘管整流組件組成的固態(tài)直流電源設(shè)備已基本上取代了直流發(fā)電機(jī),但直流電動(dòng)機(jī)仍因?yàn)槠淞己谜{(diào)速性能的優(yōu)勢(shì)在許多傳動(dòng)性能要求高的場(chǎng)合占據(jù)一定的地位,而fpga又具有很強(qiáng)的性能及其優(yōu)勢(shì),基于fpga的直流電機(jī)的控制還是有應(yīng)用價(jià)值1。第2章 電機(jī)的基本知識(shí)電機(jī)可分為變壓器、異步電機(jī)、同步電機(jī)和直流電機(jī)四個(gè)機(jī)種。其中變壓器是靜止的電氣設(shè)備,其余均為旋轉(zhuǎn)電機(jī)。異步電機(jī)和同步電機(jī)

15、均為交流電機(jī)。在本次設(shè)計(jì)中用到的是直流電機(jī),直流電機(jī)是實(shí)現(xiàn)直流電能與機(jī)械能轉(zhuǎn)的裝置4。2.1直流電機(jī)的特點(diǎn)直流電動(dòng)機(jī)與交流電動(dòng)機(jī)相比較,具有良好的調(diào)速性能和啟動(dòng)性能。直流電動(dòng)機(jī)具有寬廣的調(diào)速范圍,平滑的無(wú)級(jí)調(diào)速特性,可實(shí)現(xiàn)頻繁的無(wú)級(jí)快速啟動(dòng)、制動(dòng)和反轉(zhuǎn);過(guò)載能力大,能承受頻繁的沖擊負(fù)載;能滿足自動(dòng)化生產(chǎn)系統(tǒng)中各種特殊運(yùn)行的要求。而直流發(fā)電機(jī)則能提供無(wú)脈動(dòng)的大功率的直流電源,且輸出的電壓可以精確地調(diào)節(jié)和控制。但直流電機(jī)也有它顯著的缺點(diǎn):一是制造工藝復(fù)雜,消耗有色金屬較多,生產(chǎn)成本高;二是運(yùn)行的時(shí)候由于電刷與換向器之間容易產(chǎn)生火花,所以可靠性比較差,維護(hù)比較困難。所以在一些對(duì)調(diào)速性能要求不高的領(lǐng)域

16、中己被交流變頻調(diào)速系統(tǒng)所取代。但是在某些要求調(diào)速范圍大、快速性高、精密度好、控制性能優(yōu)異的場(chǎng)合,直流電動(dòng)機(jī)的應(yīng)用目前仍然占有較大的比重5 6。 2.2直流電機(jī)基本結(jié)構(gòu)直流電機(jī)由定子(靜止部分)和轉(zhuǎn)子(轉(zhuǎn)動(dòng)部分)兩大部分組成。2.1 直流電機(jī)的結(jié)構(gòu)2.2.1定子部分定子部分包括機(jī)座、主磁極、換向極和電刷裝置等。(1)機(jī)座機(jī)座有兩個(gè)作用,一是作為電機(jī)磁路系統(tǒng)中的一部分,二是用來(lái)固定主磁極、換向極及端蓋等,起機(jī)械支承的作用。因此要求機(jī)座有好的導(dǎo)磁性能及足夠的機(jī)械強(qiáng)度和剛座,機(jī)座通常用鑄鋼或厚鋼板焊成。(2)主磁極在大多數(shù)直流電機(jī)中,主磁極是電磁鐵,如圖2.1的n、s就是主磁極,主磁極鐵芯用11.5m

17、m厚的低碳鋼板疊加而成,整個(gè)磁級(jí)用螺釘固定在機(jī)座上。主磁極的作用是在定轉(zhuǎn)子之間的氣隙中建立磁場(chǎng),使電樞繞組在此磁場(chǎng)的作用下感應(yīng)電動(dòng)勢(shì)和產(chǎn)生電磁轉(zhuǎn)矩。(3)換向極換向極又稱附加極或間極,其作用是以改善換向。換向極裝在相鄰兩主磁極n、s之間,由鐵心和繞組構(gòu)成。鐵芯一般用整塊鋼或鋼板加工而成。換向極繞組與電樞繞組串聯(lián)。(4)電刷裝置在圖2.1中,a、b表示電刷。它的作用是把轉(zhuǎn)動(dòng)的電樞繞組與靜止的外電路相連接,并與換向器相配合,起到整流或逆變器的作用。2.2.2轉(zhuǎn)子部分直流電機(jī)的轉(zhuǎn)子稱為電樞,包括電樞鐵芯、電樞繞組、換向器、風(fēng)扇、軸和軸承等。(1)電樞鐵芯電樞鐵芯是電機(jī)主磁路的一部分,且用來(lái)嵌放電樞繞

18、組。為了減少電樞旋轉(zhuǎn)時(shí)電樞鐵芯中因磁通變化而引起的磁滯及渦流損耗,電樞鐵心通常用0.5mm厚的兩面涂有絕緣漆的硅鋼片疊加而成。(2)電樞繞組電樞繞組是由許多按一定規(guī)律連接的線圈組成,它是直流電機(jī)的主要電路部分,也是通過(guò)電流和感應(yīng)電動(dòng)勢(shì),從而實(shí)現(xiàn)機(jī)電能量轉(zhuǎn)換的關(guān)鍵部件。線圈用包有絕緣的導(dǎo)線繞制而成,嵌放在電樞槽中。每個(gè)線圈(也稱組件)有兩個(gè)出線端,分別接到換向器的兩個(gè)換向片上。所有線圈按一定規(guī)律連接成一閉合回路。(3)換向器換向器也是直流電機(jī)的重要部件。在直流電動(dòng)機(jī)中,它將電刷上的直流電流轉(zhuǎn)換成繞組內(nèi)的交流電流;在直流發(fā)電機(jī)中,它將繞組內(nèi)的交流電動(dòng)勢(shì)轉(zhuǎn)換成電刷端上的直流電動(dòng)勢(shì)。換向器由許多換向片

19、組成,每片之間相互絕緣。換向片數(shù)與線圈組件數(shù)相同。2.3 直流電機(jī)工作原理直流電機(jī)的工作原理建立在電磁力和電磁感應(yīng)的基礎(chǔ)上,從圖2.1可以看出主磁極n、s間裝著一個(gè)可以轉(zhuǎn)動(dòng)的鐵磁圓柱體,圓柱體的表面上固定著一個(gè)線圈abcd。abcd是裝在可以轉(zhuǎn)動(dòng)的鐵磁圓柱上的一個(gè)線圈,把線圈的兩端分別接到兩個(gè)圓弧形的銅片上(簡(jiǎn)稱換向片),兩者相互絕緣,鐵芯和線圈合稱電樞。當(dāng)線圈中通入直流電流時(shí),線圈邊上受到電磁力f=bli,根據(jù)左手定則確定力的方向,這一對(duì)電磁力形成了作用于電樞的一個(gè)電磁轉(zhuǎn)矩,轉(zhuǎn)矩的方向是逆時(shí)針?lè)较?。若電樞轉(zhuǎn)動(dòng),線圈兩邊的位置互換,而線圈中通過(guò)的還是直流電流,則所產(chǎn)生的電磁轉(zhuǎn)矩的方向則變?yōu)轫槙r(shí)

20、針?lè)较颍虼穗姌惺艿揭环N方向交變的電磁轉(zhuǎn)矩。這種交變的電磁轉(zhuǎn)矩只能使電樞來(lái)回?fù)u擺,而不能使電樞連續(xù)轉(zhuǎn)動(dòng)。顯然,要使電樞受到一個(gè)方向不變的電磁轉(zhuǎn)矩,關(guān)鍵在于,當(dāng)線圈邊在不同極性的磁極下,如何將流過(guò)線圈中的電流方向及時(shí)地加以變換,即進(jìn)行所謂“換向”。為此必須增添一個(gè)叫做換向器的裝置,換向器由互相絕緣的銅質(zhì)換向片構(gòu)成,裝在軸上,也和電樞絕緣,且和電樞一起旋轉(zhuǎn)。換向器又與兩個(gè)固定不動(dòng)的由石墨制成的電刷a、b相接觸。裝了這種換向器以后,若將直流電壓加于電刷端,直流電流經(jīng)電刷流過(guò)電樞上的線圈,則產(chǎn)生電磁轉(zhuǎn)矩,電樞在電磁轉(zhuǎn)矩的作用下就旋轉(zhuǎn)起來(lái)。電樞一經(jīng)轉(zhuǎn)動(dòng),由于換向器配合電刷對(duì)電流的換向作用,直流電流交替地

21、由線圈邊ab和cd流入,使線圈邊只要處于n極下,其中通過(guò)電流的方向總是由電刷a流入的方向,而在s極下時(shí),總是從電刷b流出的方向。這就保證了每個(gè)極下線圈邊中的電流始終是一個(gè)方向。這樣的結(jié)構(gòu),就可使電動(dòng)機(jī)能連續(xù)地旋轉(zhuǎn)。這就是直流電機(jī)的基本工作原理7。2.4直流電機(jī)pwm調(diào)速原理所謂脈沖寬度調(diào)制是指用改變電機(jī)電樞電壓接通與斷開(kāi)的時(shí)間的占空比來(lái)控制電機(jī)轉(zhuǎn)速的方法,稱為脈沖寬度調(diào)制(pwm)。對(duì)于直流電機(jī)調(diào)速系統(tǒng),使用fpga進(jìn)行調(diào)速是極為方便的。其方法是通過(guò)改變電機(jī)電樞電壓導(dǎo)通時(shí)間與通電時(shí)間的比值(即占空比)來(lái)控制電機(jī)速度。pwm調(diào)速原理如圖2.2所示。圖2.2 pwm調(diào)速原理在脈沖作用下,當(dāng)電機(jī)通電

22、時(shí),速度增加;電機(jī)斷電時(shí),速度逐漸減少。只要按一定規(guī)律,改變通、斷電時(shí)間,即可讓電機(jī)轉(zhuǎn)速得到控制。設(shè)電機(jī)永遠(yuǎn)接通電源時(shí),其轉(zhuǎn)速最大為vmax,設(shè)占空比為d=t1/t,則電機(jī)的平均速度為 vd=vmaxd式中,vd電機(jī)的平均速度 vmax電機(jī)全通時(shí)的速度(最大) d=t1/t占空比 平均速度vd與占空比d的函數(shù)曲線,如圖2.3所示。圖2.3 平均速度和占空比的關(guān)系由圖2.3所示可以看出,vd與占空比d并不是完全線性關(guān)系(圖中實(shí)線),當(dāng)系統(tǒng)允許時(shí),可以將其近似地看成線性關(guān)系(圖中虛線)。因此也就可以看成電機(jī)電樞電壓ua與占空比d成正比,改變占空比的大小即可控制電機(jī)的速度。 由以上敘述可知:電機(jī)的轉(zhuǎn)

23、速與電機(jī)電樞電壓成比例,而電機(jī)電樞電壓與控制波形的占空比成正比,因此電機(jī)的速度與占空比成比例,占空比越大,電機(jī)轉(zhuǎn)得越快,當(dāng)占空比1時(shí),電機(jī)轉(zhuǎn)速最大。第3章 fpga與硬件描述語(yǔ)言在本章中首先介紹fpga的基本知識(shí),以及所要涉及的芯片;在此基礎(chǔ)上介紹設(shè)計(jì)中需要應(yīng)用的硬件語(yǔ)言,以便使下面的設(shè)計(jì)更加完整,并方便閱讀。3.1現(xiàn)場(chǎng)可編程邏輯器件在現(xiàn)場(chǎng)可編程邏輯器件領(lǐng)域,目前主要的產(chǎn)品主要分為3大類。(1)基于sram編程的fpga所謂基于sram編程的fpga,從結(jié)構(gòu)上而言,主要是由3個(gè)部分組成:可編程邏輯塊(clb)、可編程輸入/輸出模塊iob和可編程內(nèi)部連線pl組成。(2)基于prom或eeprom

24、編程的cpld基于eprom或eeprom編程的cpld,主要由可編程i/o模塊、可編程邏輯單元模塊(lb)、可編程布線池(pia)組成。其特點(diǎn)是:芯片功能的定義是由陣列分布eprom或eeprom型的下拉mos開(kāi)關(guān)來(lái)控制。(3)基于一次性編程的反熔絲fpga反熔絲fpga的主要特點(diǎn)是功耗低,布線通路豐富,邏輯元件粒度小。另一方面,眾所周知,采用反熔絲技術(shù)的fpga盡管具有許多優(yōu)點(diǎn),但是卻有一個(gè)致命的弱點(diǎn),也就是只能進(jìn)行一次性編程,這就為大規(guī)模fpga產(chǎn)品的開(kāi)發(fā)帶來(lái)了許多不便。為了彌補(bǔ)這一不足,近年來(lái),一種新型的集高密度、低功耗、非易失性和可重新編程于一身的非易失性、可重新編程的門(mén)陣列已經(jīng)推向

25、市場(chǎng)。(4)fpga未來(lái)發(fā)展方向以fpga、cpld為代表的現(xiàn)場(chǎng)可編程邏輯電路的主要發(fā)展方向是:為了迎接系統(tǒng)級(jí)芯片時(shí)代,向著密度更高、速度更快、頻帶更寬的數(shù)百萬(wàn)門(mén)超大規(guī)模的方向發(fā)展。為了方便用戶設(shè)計(jì)和特殊功能的應(yīng)用,向著嵌入通用或者標(biāo)準(zhǔn)功能模塊方向發(fā)展。為了適應(yīng)全球環(huán)保潮流,向著低壓、低功耗的綠色組件方向發(fā)展3。3.2硬件描述語(yǔ)言設(shè)計(jì)方法3.2.1硬件描述語(yǔ)言發(fā)展概況硬件描述語(yǔ)言(hardware description language)是硬件設(shè)計(jì)人員和電子設(shè)計(jì)自動(dòng)化(eda)工具之間的界面。其主要目的是用來(lái)編寫(xiě)設(shè)計(jì)文件建立電子系統(tǒng)行為級(jí)的仿真模型,即利用計(jì)算機(jī)的巨大能力對(duì)用verilog

26、hdl或vhdl建模的復(fù)雜的數(shù)字邏輯進(jìn)行仿真.然后再自動(dòng)綜合從而生成符合要求且在電路結(jié)構(gòu)上可以實(shí)現(xiàn)的數(shù)字邏輯網(wǎng)表(netlist),根據(jù)網(wǎng)表和某種工藝的器件自動(dòng)生成具體電路.然后生成該工藝條件下這種具體電路的延時(shí)模型,仿真驗(yàn)證無(wú)誤后,用于制造asic芯片或?qū)懭雃pld和fpga器件中5。 在eda技術(shù)領(lǐng)域中把用hdl語(yǔ)言建立的數(shù)字模型被稱為軟核(soft core),把用建模和綜合后生成的網(wǎng)表稱為固核(hard core),對(duì)這些模塊的重復(fù)利用不僅縮短了開(kāi)發(fā)時(shí)間,而且還提高了產(chǎn)品開(kāi)發(fā)率和設(shè)計(jì)效率8。 3.2.2 eda簡(jiǎn)要介紹20世紀(jì)后半期,隨著集成電路和計(jì)算機(jī)的不斷發(fā)展,電子技術(shù)面臨著嚴(yán)峻的

27、挑戰(zhàn)。由于電子技術(shù)發(fā)展周期不斷縮短,專用集成電路(asic)的設(shè)計(jì)面臨著難度不斷提高與設(shè)計(jì)周期不斷縮短的矛盾。為了解決這個(gè)問(wèn)題,必須采用新的設(shè)計(jì)方法和使用高層次的設(shè)計(jì)工具。在此情況下,eda(electronic design automation,電子設(shè)計(jì)自動(dòng)化)技術(shù)應(yīng)運(yùn)而生。eda技術(shù)就是以計(jì)算機(jī)為工作平臺(tái),以eda軟件工具為開(kāi)發(fā)環(huán)境,以硬件描述語(yǔ)言為設(shè)計(jì)語(yǔ)言,以可編程器件為實(shí)驗(yàn)載體,以asic、soc芯片為目標(biāo)器件,以數(shù)字邏輯系統(tǒng)設(shè)計(jì)為應(yīng)用方向的電子產(chǎn)品自動(dòng)化設(shè)計(jì)過(guò)程。隨著現(xiàn)代半導(dǎo)體的精密加工技術(shù)發(fā)展到深來(lái)微米(0.18um-0.35um)階段,基于大規(guī)模或超大規(guī)模集成電路技術(shù)的定制或半

28、定制asic 器件大量涌現(xiàn)并獲得廣泛的應(yīng)用研究,使整個(gè)電子技術(shù)與產(chǎn)品的面貌發(fā)生了深刻的變化,極大地推動(dòng)了社會(huì)進(jìn)程。而支撐這一發(fā)展進(jìn)程的主要基礎(chǔ)之一就是eda技術(shù)。eda技術(shù)在硬件方面融合了大規(guī)模集成電路制造技術(shù),ic版圖設(shè)計(jì)技術(shù)、asic測(cè)試和封裝技術(shù)、cpld/fpga技術(shù)等;在計(jì)算機(jī)輔助工程方面融合了計(jì)算機(jī)輔助設(shè)計(jì)cad、計(jì)算機(jī)輔助制造cam、計(jì)算機(jī)輔助測(cè)試cat技術(shù)及多種計(jì)算機(jī)語(yǔ)言的設(shè)計(jì)概念,而在現(xiàn)代電子學(xué)方面則融合了更多的內(nèi)容,如數(shù)字電路設(shè)計(jì)理論、數(shù)字信號(hào)處理技術(shù)、系統(tǒng)建模和優(yōu)化技術(shù)等。因此eda技術(shù)為現(xiàn)代數(shù)字系統(tǒng)理論和設(shè)計(jì)的表達(dá)與應(yīng)用提供了可能性,它已不是某一學(xué)科的分支,而是一門(mén)綜合

29、性學(xué)科。eda技術(shù)打破了計(jì)算機(jī)軟件與硬件間的壁壘,是計(jì)算機(jī)軟件技術(shù)與硬件實(shí)現(xiàn)、設(shè)計(jì)效率和產(chǎn)品性能的合二為一,它代表了數(shù)字電子設(shè)計(jì)技術(shù)和應(yīng)用技術(shù)的發(fā)展方向。3.2.3采用硬件描述語(yǔ)言的設(shè)計(jì)流程(1)自頂向下(top-down)設(shè)計(jì)的基本概念利用層次化、結(jié)構(gòu)化的設(shè)計(jì)方法,一個(gè)完整的設(shè)計(jì)任務(wù)首先是由設(shè)計(jì)師劃分為若干個(gè)可操作的模塊,編制出相應(yīng)的模型;在通過(guò)仿真驗(yàn)證后,然后把模塊分給下一層的設(shè)計(jì)者。這就允許多個(gè)設(shè)計(jì)者同時(shí)設(shè)計(jì)一個(gè)硬件系統(tǒng)中的不同模塊,其中每個(gè)設(shè)計(jì)者都有負(fù)責(zé)自己所承當(dāng)?shù)牟糠?,而由上一層設(shè)計(jì)師對(duì)其下層設(shè)計(jì)者完成的設(shè)計(jì)用行為級(jí)上層模塊對(duì)其設(shè)計(jì)進(jìn)行驗(yàn)證。為了提高設(shè)計(jì)質(zhì)量,一部分模塊可以通過(guò)商業(yè)渠

30、道得到,這樣可以節(jié)省開(kāi)發(fā)時(shí)間和經(jīng)費(fèi)。自頂向下設(shè)計(jì)是從系統(tǒng)級(jí)開(kāi)始,把系統(tǒng)劃分為基本單元,新產(chǎn)品的開(kāi)發(fā)總是系統(tǒng)設(shè)計(jì)入手,先進(jìn)行方案的總體論證、功能描述、任務(wù)以及指標(biāo)的分配。(2)層次管理的基本概念復(fù)雜數(shù)字邏輯電路和系統(tǒng)的層次化、結(jié)構(gòu)化設(shè)計(jì)隱含著對(duì)系統(tǒng)設(shè)計(jì)方案的逐次分解。在設(shè)計(jì)過(guò)程中的任意一個(gè)層次,至少得有一種形式來(lái)描述硬件。硬件描述通常稱為行為建模。在集成電路設(shè)計(jì)的每一層次,硬件可以分為一些模塊。該層次的硬件結(jié)構(gòu)由這些模塊互相描述。這些模塊稱為該層次的基本單元,而該層次的基本單元又有下一層次的基本單元互連而成。(3)具體模塊的設(shè)計(jì)編譯和仿真過(guò)程在不同的層次做具體模塊的設(shè)計(jì)所用的方法也有所不同。在高

31、層次上往往編寫(xiě)一些行為級(jí)的模塊通過(guò)仿真加以驗(yàn)證,其主要的目的是系統(tǒng)性能的總體考慮和各模塊的指標(biāo)分配,并非具體電路的實(shí)現(xiàn),因此綜合以上的步驟往往不需要進(jìn)行;而當(dāng)設(shè)計(jì)接近底層時(shí),行為描述往往要用電路邏輯來(lái)描述實(shí)現(xiàn)。此時(shí)模塊不但需要通過(guò)仿真加以驗(yàn)證,而且還要通過(guò)進(jìn)行綜合、優(yōu)化和后仿真??傊?,具體電路是從底向上逐步實(shí)現(xiàn)的。(4)對(duì)應(yīng)具體工藝器件的優(yōu)化、映像和布局布線由于各種asic和fpga器件的工藝各不相同,因此當(dāng)用不同廠家的不同器件來(lái)實(shí)現(xiàn)已驗(yàn)證的邏輯網(wǎng)表(edif文件)時(shí),就需要不同的基本單元庫(kù)與布線延遲模型與之對(duì)應(yīng),才可以進(jìn)行準(zhǔn)確的優(yōu)化、映像以及布局布線。第4章 設(shè)計(jì)原理及其實(shí)現(xiàn)過(guò)程4.1直流電

32、機(jī)pwm調(diào)速方案設(shè)計(jì)圖 4.1 基于fpga的直流電機(jī)調(diào)速系統(tǒng)如圖4.1所示為基于fpga的直流電機(jī)調(diào)速方案的方框圖,用fpga產(chǎn)生pwm波形,只需要fpga內(nèi)部資源就可以實(shí)現(xiàn),如數(shù)字比較器、鋸齒波發(fā)生器等均為fpga內(nèi)部資源,我們只要直接調(diào)用就可以。外部端口u_d、en1、z/f、start接在鍵盤(pán)電路上,clk2和clk0接在外部時(shí)鐘電路上。其工作原理是:設(shè)定值計(jì)數(shù)器的設(shè)置pwm的占空比。當(dāng)u/d=1時(shí),輸入clk2,使設(shè)定值計(jì)數(shù)器的輸出值增加, pwm的占空比增加,電機(jī)轉(zhuǎn)速加快;當(dāng)u/d =0時(shí),輸入clk2,使設(shè)定值計(jì)數(shù)器的輸出值減小,pwm的占空比減小,電機(jī)轉(zhuǎn)速變慢。在clk0的作用

33、下,鋸齒波計(jì)數(shù)器輸出周期性線性增加的鋸齒波。當(dāng)計(jì)數(shù)值小于設(shè)定值時(shí),數(shù)字比較器輸出高電平;當(dāng)計(jì)數(shù)值大于設(shè)定值時(shí),數(shù)字比較器輸出低電平,由此產(chǎn)生周期性的pwm波形。旋轉(zhuǎn)方向控制電路控制直流電動(dòng)機(jī)轉(zhuǎn)向和啟/停,該電路由兩個(gè)2選1的多路選擇器組成,z/f鍵控制選擇pwm波形是從正端z進(jìn)入h橋,還是從負(fù)端f進(jìn)入h橋,以控制電機(jī)的旋轉(zhuǎn)方向。當(dāng)z/f=1時(shí),pwm輸出波形從正端z進(jìn)入h橋,電機(jī)正轉(zhuǎn)。當(dāng) z/f =0時(shí),pwm輸出波形從負(fù)端f進(jìn)入h橋,電機(jī)反轉(zhuǎn)。start是電機(jī)的開(kāi)啟端,u_d控制電機(jī)加速與減速,en1用于設(shè)定電機(jī)轉(zhuǎn)速的初值,z_f是電機(jī)的方向端口,選擇電機(jī)運(yùn)行的方向。clk2和clk0是外部

34、時(shí)鐘端,其主要作用是向fpga控制系統(tǒng)提供時(shí)鐘脈沖,控制電機(jī)進(jìn)行運(yùn)轉(zhuǎn)。通過(guò)鍵盤(pán)設(shè)置pwm信號(hào)的占空比。當(dāng)u_d=1時(shí), 表明鍵u_d按下,輸入clk2使電機(jī)轉(zhuǎn)速加快;當(dāng)u/d =0,表明鍵u_d松開(kāi),輸入clk2使電機(jī)轉(zhuǎn)速變慢,這樣就可以實(shí)現(xiàn)電機(jī)的加速與減速。start是電機(jī)的開(kāi)啟鍵,當(dāng)start=1,允許電機(jī)工作;當(dāng)start=0時(shí),電機(jī)停止轉(zhuǎn)動(dòng)。h橋電路由大功率晶體管組成,pwm輸出波形通過(guò)由兩個(gè)二選一電路組成的方向控制電路送到 h 橋, 經(jīng)功率放大以后對(duì)直流電機(jī)實(shí)現(xiàn)四象限運(yùn)行。并由en1信號(hào)控制是否允許變速9。4.2 fpga內(nèi)部邏輯組成圖4.2 fpga直流電機(jī)pwm 控制電路由圖4.

35、2可以看出電機(jī)控制邏輯模塊由pwm脈寬調(diào)制信號(hào)產(chǎn)生電路、方向控制電路組成。其中pwm脈寬調(diào)制信號(hào)產(chǎn)生電路由可控的加減計(jì)數(shù)器cnta、5位二進(jìn)制計(jì)數(shù)器cntb、數(shù)字比較器lpm_compare三部分組成,方向控制電路由兩個(gè)二選一電路21mux組成。接著就對(duì)pwm脈寬調(diào)制信號(hào)產(chǎn)生電路的vhdl描述與仿真、方向電路的vhdl描述與仿真進(jìn)行詳細(xì)的分析。4.3模塊設(shè)計(jì)和相應(yīng)模塊程序4.3.1 pwm脈沖調(diào)制信號(hào)電路模塊pwm脈寬調(diào)制信號(hào)產(chǎn)生電路由可控的加減計(jì)數(shù)器cnta、5位二進(jìn)制計(jì)數(shù)器cntb、數(shù)字比較器lpm_compare三部分組成??煽氐募訙p計(jì)數(shù)器做細(xì)分計(jì)數(shù)器,確定脈沖寬度。當(dāng)u/d=1時(shí),輸入

36、clk2,使設(shè)定值計(jì)數(shù)器的輸出值增加,pwm的占空比增加,電機(jī)轉(zhuǎn)速加快;當(dāng)u/d =0,輸入clk2,使設(shè)定值計(jì)數(shù)器的輸出值減小,pwm的占空比減小,電機(jī)轉(zhuǎn)速變慢。5位二進(jìn)制計(jì)數(shù)器在clk0的作用下,鋸齒波計(jì)數(shù)器輸出周期性線性增加的鋸齒波。當(dāng)計(jì)數(shù)值小于設(shè)定值時(shí),數(shù)字比較器輸出高電平;當(dāng)計(jì)數(shù)值大于設(shè)定值時(shí),數(shù)字比較器輸出低電平,由此產(chǎn)生周期性的pwm波形。其內(nèi)部邏輯圖如圖4.3所示。圖 4.3 fpga中的pwm脈寬調(diào)制信號(hào)產(chǎn)生電路在本次設(shè)計(jì)中直流電機(jī)轉(zhuǎn)速進(jìn)行了32級(jí)細(xì)分。細(xì)分計(jì)數(shù)器的初值設(shè)為08h,當(dāng)計(jì)數(shù)器cntb的值小于8時(shí),agb輸出高電平,當(dāng)計(jì)數(shù)器cntb的值大于8時(shí),agb的輸出值為低

37、電平,從而產(chǎn)生pwm波形。圖4.4 a4.0=08h時(shí)電機(jī)加速pwm波形通過(guò)改變細(xì)分計(jì)數(shù)器的值就可以改變pwm的占空比,從而改變直流電機(jī)的速度。在圖4.4中占空比d=8/32=0.25,在圖4.5中占空比d=4/32=0.125。通過(guò)以上兩組數(shù)據(jù)比較以及分析仿真波形我們可以看出,只要改變使能端電平的高低,便可以改變細(xì)分計(jì)數(shù)器的值,也就是改變細(xì)分計(jì)數(shù)器cnta的初值,從而可以改變直流電機(jī)的占空比,改變直流電機(jī)的速度。圖4.5 a4.0=04h時(shí)電機(jī)減速pwm波形調(diào)節(jié)pwm波的占空比是電機(jī)調(diào)速的重要手段,若脈寬計(jì)數(shù)器cnta的值逐漸增大,輸出脈沖的開(kāi)啟時(shí)間變大,pwm占空比逐漸變大,功率器件輸出給

38、電機(jī)電樞的能量增加,電機(jī)加速。若脈寬計(jì)數(shù)器定時(shí)器cnta的值減小,輸出脈沖的開(kāi)啟時(shí)間變小,pwm占空比逐漸變小,功率器件輸出給電機(jī)電樞的能量減少,電機(jī)減速。當(dāng)電機(jī)得到加速信號(hào),占空比增大至它可調(diào)范圍的最大值后保持,電機(jī)得到減速信號(hào),占空比減小至它的可調(diào)范圍的最小值后保持。(1)可控加減計(jì)數(shù)器模塊可控加減計(jì)數(shù)器cnta是一個(gè)雙向計(jì)數(shù)器, 可以進(jìn)行加減計(jì)數(shù),由u_d控制其加/減計(jì)數(shù)方向, clk是計(jì)數(shù)時(shí)鐘輸入端。為了便于連續(xù)變速控制, 在計(jì)數(shù)器的clk端通過(guò)“與”門(mén), 加入了clk2外部變速控制附加時(shí)鐘, 并由en1信號(hào)控制是否允許變速。u_d=1時(shí),加減計(jì)數(shù)器cnta在脈沖clk2的作用下,每來(lái)

39、一個(gè)脈沖,計(jì)數(shù)器cnta加1,u_d=0時(shí),每來(lái)一個(gè)脈沖,計(jì)數(shù)器cnta減1。使能端en1設(shè)定計(jì)數(shù)器值的初值,當(dāng)en1由1變?yōu)?的時(shí)候,無(wú)論u_d如何表化,計(jì)數(shù)器的值都不會(huì)發(fā)生變化,這樣就完成了可控加減計(jì)數(shù)器的設(shè)定值,其仿真波形如圖4.6所示, 其vhdl語(yǔ)言如下。library ieee;library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cnta is port(clk:in std_logic; u_d:in std_logic; cq:out std_logic_vector(4

40、 downto 0);end cnta;architecture behav of cnta is signal cqi:std_logic_vector(4 downto 0);begin process(clk)begin if clkevent and clk=1then if u_d=1 then if cqi=31 then cqi=11111; else cqi=cqi+1; end if; elsif cqi=0 then cqi=00000; else cqi=cqi-1;end if; end if; end process; cq=cqi; end behav;圖 4.6

41、可控加減計(jì)數(shù)器的仿真波形(2)4.3.1.2 二進(jìn)制計(jì)數(shù)器電路模塊cntb是一個(gè)簡(jiǎn)單的5位二進(jìn)制計(jì)數(shù)器,它的工作原理和cnta的原理很相似,我們只是在cnta的時(shí)鐘端加了一個(gè)使能端en1控制其加減的方向。而cntb的時(shí)鐘端沒(méi)有加使能端,所以每來(lái)一個(gè)脈沖計(jì)數(shù)器加1,因?yàn)閏ntb是一個(gè)5位的二進(jìn)值計(jì)數(shù)器,所以當(dāng)計(jì)數(shù)器的值當(dāng)大于32時(shí),計(jì)數(shù)器又重新從0開(kāi)始記數(shù),從而產(chǎn)生周期性的線性增加的鋸齒波。其仿真波形如圖4.7, 其vhdl語(yǔ)言如下。entity cntb is port(clk: in bit; q:buffer integer range 31 downto 0); end;architec

42、ture bhv of cntb is begin process(clk) begin if clkevent and clk=1 then q=q+1; end if; end process; end bhv;圖4.7 5位二進(jìn)制計(jì)數(shù)器仿真波形(3)4.3.1.3 數(shù)字比較器模塊數(shù)字比較器是產(chǎn)生pwm波形的核心組成部件,可控的加減計(jì)數(shù)器cnta和5位二進(jìn)制計(jì)數(shù)器cntb同時(shí)加數(shù)字比較器lpm-compare兩端作為兩路輸入信號(hào),當(dāng)計(jì)數(shù)器cntb輸出值小于細(xì)分計(jì)數(shù)器cnta輸出的規(guī)定值時(shí), 比較器輸出高電平; 當(dāng)cntb輸出值不小于細(xì)分計(jì)數(shù)器cnta輸出的規(guī)定值時(shí), 比較器輸出低電平。改變

43、細(xì)分計(jì)數(shù)器的設(shè)定值, 就可以改變pwm輸出信號(hào)的占空比。為了便于觀察防真波形,我在cntb的輸出加上b4.0,仿真波形如圖4.8。圖4.8 數(shù)字比較器的仿真波形4.3.2 邏輯控制模塊如圖4.9所示fpga中的工作/停止控制和正/反轉(zhuǎn)方向控制電路,其兩個(gè)二選一多路選擇器加上兩個(gè)與門(mén)根據(jù)邏輯原理組合而成。start鍵通過(guò)“與”門(mén)控制pwm輸出,實(shí)現(xiàn)對(duì)電機(jī)的工作/停止控制。當(dāng)start端接高電平時(shí),表示電源接通,電機(jī)開(kāi)始運(yùn)轉(zhuǎn);當(dāng)start端接低電平時(shí),電機(jī)停止運(yùn)轉(zhuǎn)。z/f鍵控制選擇pwm波形是從正端z進(jìn)入h橋,還是從負(fù)端f進(jìn)入h橋,以控制電機(jī)的旋轉(zhuǎn)方向。當(dāng)z/f=1時(shí)pwm輸出波形從正端z進(jìn)入h橋

44、,電機(jī)正轉(zhuǎn)。當(dāng) z/f =0時(shí)pwm輸出波形從負(fù)端f進(jìn)入h橋,電機(jī)反轉(zhuǎn)。仿真如圖4.10所示。圖4.9 fpga中的工作/停止控制和正/反轉(zhuǎn)方向控制電路圖4.10 正/反轉(zhuǎn)工作控制電路波形當(dāng)start=1時(shí),與門(mén)打開(kāi),允許電機(jī)工作。當(dāng)start=0時(shí),與門(mén)關(guān)閉,電機(jī)停止轉(zhuǎn)動(dòng)。仿真如圖4.11所示。圖4.11工作/停止電路波形4.4 直流電機(jī)pwm調(diào)速系統(tǒng)仿真在本次設(shè)計(jì)中,需要滿足的技術(shù)要求是設(shè)計(jì)具有正/反轉(zhuǎn),起/??刂乒δ堋⑺俣仍诰€可調(diào)的直流電機(jī)控制裝置。接下來(lái)就用仿真波形詳細(xì)的說(shuō)明本次設(shè)計(jì)的電路滿足以上的所有要求。4.4.1正/反轉(zhuǎn)控制仿真鍵盤(pán)z_f是電機(jī)的方向控制鍵。當(dāng)要求電機(jī)正轉(zhuǎn)時(shí),只需

45、要按下鍵z_f,表示z_f輸出高電平,即z_f=1,電機(jī)正轉(zhuǎn),如圖4.12所示。當(dāng)鍵z_f松開(kāi)時(shí),z_f0時(shí),電機(jī)反轉(zhuǎn),如圖4.13、圖4.14所示。圖4.12 電機(jī)正轉(zhuǎn)圖4.13 電機(jī)反轉(zhuǎn)圖4.14 電機(jī)正反轉(zhuǎn)4.4.2 啟/??刂品抡鎠tart鍵是電機(jī)的啟動(dòng)鍵,當(dāng)按下start鍵時(shí),start=1,電機(jī)進(jìn)入運(yùn)行狀態(tài),如圖4.15所示。反之,start=0時(shí),電機(jī)停止,如圖4.16、圖4.17所示。圖4.15 啟動(dòng)仿真波形圖4.16 停止仿真波形圖4.17 啟/停仿真波形4.4.3 加/減速仿真鍵盤(pán)en1控制電機(jī)是否允許變速。所以通過(guò)改變en1便可以改變?cè)O(shè)定值h4.0的值,也就是設(shè)定值的初值

46、,從而改變了直流電機(jī)的占空比,改變直流電機(jī)的速度,達(dá)到調(diào)速的目的。因?yàn)閏ntb是5位的計(jì)數(shù)器,所在本設(shè)計(jì)中直流電機(jī)轉(zhuǎn)速細(xì)分為32級(jí)。如圖4.18的占空比為2/32=0.0625,同理通過(guò)按鍵en1該變h4.0的值便得到如圖4.19、4.20的pwm仿真波形,其占空比依次為0.125、0.25,也就是占空比增大,電機(jī)的速度增加。根據(jù)以上的數(shù)據(jù)比較與仿真波形的分析可以看出,電機(jī)的速度在逐漸的增加。所以通過(guò)改變en1的值可以改變直流電機(jī)的pwm占空比,從而改變直流電機(jī)的速度。圖4.18 h4.0=02h仿真波形圖4.19 h4.0=04h仿真波形圖4.20 h4.0=08h仿真波形4.4.4 仿真結(jié)果分析通過(guò)4.4.1到4.4.3的仿真波形分析可知,本設(shè)計(jì)中的各項(xiàng)功能夠很好的實(shí)現(xiàn)。在時(shí)鐘脈沖的作用下,計(jì)數(shù)器cnta和cntb都能按照事先

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論