EDA交通燈實驗報告_第1頁
EDA交通燈實驗報告_第2頁
EDA交通燈實驗報告_第3頁
EDA交通燈實驗報告_第4頁
EDA交通燈實驗報告_第5頁
免費預(yù)覽已結(jié)束,剩余1頁可下載查看

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、EDA實驗報告題 目:交通燈設(shè)計學 院:電子工程學院專 業(yè):電子信息工程作 者:導(dǎo) 師:孫萬蓉EDA實驗報告:交通燈設(shè)計一、設(shè)計任務(wù)及要求:設(shè)計任務(wù): 模擬十字路口交通信號燈的工作過程,利用實驗板上的兩組紅、黃、綠LED作為交通信號燈,設(shè)計一個交通信號燈控制器。要求:(1)交通燈從綠變紅時,有 5 秒黃燈亮的間隔時間;( 2) 交通燈紅變綠是直接進行的,沒有間隔時間;(3) 東西主干道上的綠燈時間為 25 秒,南北支干道的綠燈時間為 25秒;( 4) 在任意時間,顯示每個狀態(tài)到該狀態(tài)結(jié)束所需的時間。路口示意圖如下:圖 1 路口交通示意圖 表1 交通信號燈的 4種狀態(tài)ABC東西主干道交通燈綠(2

2、5 秒)黃(5 秒)紅(30 秒)南北支干道交通燈紅(30 秒)黃(5 秒)綠(25 秒)設(shè)計要求:( 1) 采用 VHDL語言編寫程序,并在 QuartusII 工具平臺中進行仿真,下載到 EDA實驗 箱進行驗證。( 2) 編寫設(shè)計報告,要求包括方案選擇、程序清單、調(diào)試過程及測試結(jié)果。 、設(shè)計原理1、設(shè)計目的:學習 DEA開發(fā)軟件和 QuartusII 的使用方法,熟悉可編程邏輯器件的使用。通過制作 來了解交通燈控制系統(tǒng),交通燈控制系統(tǒng)主要是實現(xiàn)城市十字交叉路口紅綠燈的控制2、設(shè)計說明( 1)第一模塊: clk 時鐘秒脈沖發(fā)生電路在紅綠燈交通信號系統(tǒng)中, 大多數(shù)情況是通過自動控制的方式指揮交

3、通的。 因此為了 避免意外事件的發(fā)生,電路必須給一個穩(wěn)定的時鐘( clock )才能讓系統(tǒng)正常運作。 模塊說明:系統(tǒng)輸入信號:Clk: 由外接信號發(fā)生器提供 50MHz的時鐘信號; 系統(tǒng)輸出信號: full :產(chǎn)生每秒一個脈沖的信號;(2)第二模塊:計數(shù)秒數(shù)選擇電路 計數(shù)電路最主要的功能就是記數(shù)負責顯示倒數(shù)的計數(shù)值,對下一個模塊提供狀態(tài)轉(zhuǎn)換信 號。模塊說明:系統(tǒng)輸入: full: 接收由 clk 電路的提供的 1HZ的時鐘脈沖信號;系統(tǒng)輸出信號: tm : 產(chǎn)生顯示電路狀態(tài)轉(zhuǎn)換信號tl:倒計數(shù)值 秒數(shù)個位變化控制信號th:倒計數(shù)值 秒數(shù)十位變化控制信號(3)第三模塊:紅綠燈狀態(tài)轉(zhuǎn)換電路 本電路

4、負責紅綠燈的轉(zhuǎn)換。模塊說明:系統(tǒng)輸入信號: tm:full: 接收由 clk 電路的提供的 1Hz 的時鐘脈沖信號; 接收計數(shù)秒數(shù)選擇電路狀態(tài)轉(zhuǎn)換信號;系統(tǒng)輸出信號:comb_out: 負責紅綠燈的狀態(tài)顯示。4)第四模塊:時間顯示電路本電路負責紅綠燈的計數(shù)時間的顯示模塊說明:定時時間到檢測時間顯示數(shù)據(jù)輸系統(tǒng)輸入信號: tl :倒計數(shù)值 秒數(shù)個位變化控制信號; th :倒計數(shù)值 秒數(shù)十位變化控制信號; 系統(tǒng)輸出信號: led7s1: 負責紅綠燈的顯示秒數(shù)個位 led7s2 : 負責紅綠燈的顯示秒數(shù)十位。 三、 設(shè)計方案狀 態(tài)發(fā) 生器 (兩 位 出紅黃綠燈輸出紅黃綠燈信 控制電路號輸出1 秒時鐘脈

5、沖圖 2 交通信號燈控制器的原理框圖采用 VHDL語言輸入的方式實現(xiàn)交通信號燈控制器CLK秒脈沖信計數(shù)器狀態(tài)寄存號發(fā)生器(進程器時間顯示數(shù)據(jù)輸出次態(tài)發(fā)生器信號燈輸出信 信 號 燈輸出圖3 交通信號燈控制器程序原理框圖該程序由 7個進程組成,進程 P1和 P2將CLK信號分頻后產(chǎn)生 1秒信號,進程 P3、P4、 P5 構(gòu)成兩個帶有預(yù)置數(shù)功能的十進制計數(shù)器, 其中 P4產(chǎn)生允許十位計數(shù)器計數(shù)的控制信 號。進程 P6 實現(xiàn)狀態(tài)轉(zhuǎn)換和產(chǎn)生狀態(tài)轉(zhuǎn)換的控制信號,進程 P7產(chǎn)生次態(tài)信號和信號燈 輸出信號,以及每一個狀態(tài)的時間值。四、程序清單:LIBRARY IEEE;USE traffic ISPORT (

6、clk:in std_logic;led7s1:out std_logic_vector(6 downto 0);led7s2:out std_logic_vector(6 downto 0);comb_out:out std_logic_vector(5 downto 0);END;ARCHITECTURE one OF traffic ISTYPE dm IS (s0,s1,s2,s3);SIgnal current_state,next_state:dm;SIGNAL FULL : STD_LOGIC;SIGNAL tl :STD_LOGIC_VECTOR(6 DOWNTO 0);SIG

7、NAL th:STD_LOGIC_VECTOR(1 DOWNTO 0);SIGNAL tm :STD_LOGIC_VECTOR(6 DOWNTO 0);SIGNAL TIME :STD_LOGIC_VECTOR(6 DOWNTO 0);BEGINP_REG: PROCESS(CLK)VARIABLE CNT8:STD_LOGIC_VECTOR(7 DOWNTO 0);BEGINIF CLKEVENT AND CLK=1 THENCNT8:=01111111;FULL=1;ELSE CNT8 := CNT8+1;FULL = 0;END IF;END IF;END PROCESS P_REG;P

8、ROCESS(full)BEGINIF fullEVENT AND full=1 THENIF TIME1000011 THENTIME=TIME+1;ELSe TIME =0000000;END IF;END IF;END PROCESS;REG:process( full,current_state)BEGINIF full=1 AND fullEVENT THEN current_statecomb_out=001100;tm=39-time;if time=39 then next_state=s1;else next_statecomb_out=010100;tm=43-time;i

9、f time=43 then next_state=s2;else next_statecomb_out=100010;tm=63-time;if time=63 then next_state=s3;else next_statecomb_out=100001;tm=67-time;if time=67 then next_state=s0;else next_state=30 THEN th=11;tl=20 THEN th=10;tl=10 THEN th=01;tl=tm-10;ELSE th=00;tlled7s1led7s1led7s1led7s1null;end case;cas

10、e tl iswhen 0000000=led7s2led7s2led7s2led7s2led7s2led7s2led7s2led7s2led7s2led7s2null;end case;end process;end;五、電路及波形圖1.波形圖圖 4 仿真波形圖2引腳設(shè)置選擇的器件為 cyclone3 系列的 EP3C16F484C芯6 片,引腳鎖定方法如下圖所示。將 未使用的管腳設(shè)置為三態(tài)輸入(一定要設(shè)置,否則可能會損壞芯片) 。圖 5 引腳使用圖六、硬件測試及說明用實驗板上的 6個 LED作為交通信號燈,設(shè)計一個交通信號燈控制器。 1、交通燈從綠變紅時,有 5 秒黃燈亮的間隔時間; 2、交通燈紅變綠是直接進行的,沒有間隔時間;3、紅燈時間為 30秒。綠燈時間為 25 秒。黃燈時間為 5秒。七、實驗心得體會通過這次課程設(shè)計,我進一步加深了對數(shù)字系統(tǒng)設(shè)計的了解。并進一步熟練了 對 QuartusII 軟件的操作。在編寫程序的過程中,遇到了很多問題,使我發(fā)現(xiàn)自己以前學習上存在的不足。同時也掌握了做課程設(shè)計的一般流程,為以后的設(shè)計積累了一定的經(jīng)驗。做課程設(shè)計時,先查閱相關(guān)知識,把原理吃透,確定一個大的設(shè)計方向,在按照 這個方向分模塊的把要實現(xiàn)的功能用流程圖的形式展示。最后參照每個模塊把輸入和輸 出引腳設(shè)定,

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論