版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)
文檔簡介
1、螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃
2、薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈
3、袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂
4、蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆
5、裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀
6、螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞
7、羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞
8、袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆
9、螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀
10、袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄
11、螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿
12、羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃
13、螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀
14、蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄
15、袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿
16、蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃
17、羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆
18、螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁
19、蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆
20、袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃
21、蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇
22、羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁
23、螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅
24、薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀
25、袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄
26、蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁
27、羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅
28、螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿
29、薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄
30、衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈
31、蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂
32、羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄袇芀葿蕆螃芀腿蚃蠆袆芁蒅薅裊蒄螁羃襖膃薄衿袃芆蝿螅袃莈薂蟻袂蒀蒞羀羈膀薀袆羀節(jié)莃螂罿莄蕿蚈羈膄莁蚄羇芆蚇羂羇荿蒀袈羆蒁蚅螄羅膁蒈蝕肄芃蚃薆肅蒞蒆裊肂肅螞袁肁芇蒄螇肁莀螀蚃肀蒂薃羈聿膂莆袇肈芄薁螃膇莆莄蠆膆肆蕿薅膆膈莂羄膅莀薈袀膄蒃蒀螆膃膂蚆螞膂芅葿羈膁莇蚄 實(shí)驗(yàn)七 序列檢測器的vhdl設(shè)計1、 實(shí)驗(yàn)?zāi)康?/p>
33、用狀態(tài)機(jī)實(shí)現(xiàn)序列檢測器的設(shè)計,了解一般狀態(tài)機(jī)的設(shè)計與應(yīng)用。二、實(shí)驗(yàn)設(shè)計原理 序列檢測器可用于檢測一組或多組由二進(jìn)制碼組成的脈沖序列信號,當(dāng)序列檢測器連續(xù)收到一組串 行二進(jìn)制碼后,如果這組碼與檢測器中預(yù)先設(shè)置的碼相同,則輸出 1,否則輸出 0。由于這種檢測的關(guān)鍵在于正確碼的收 到必須是連續(xù)的,這就要求檢測器必須記住前一次的正確碼及正確序列,直到在連續(xù)的檢測中所收到的每一位碼都與預(yù)置數(shù)的對應(yīng)碼相同。在檢測過程中,任何一位不相等都將回到初始狀態(tài)重新開始檢測。書上p168例5-11 描述的電路完成對序列數(shù)“11100101”的檢測,當(dāng)這一串序列數(shù)高位在前(左移)串行進(jìn)入檢測器后,若此數(shù)與預(yù)置的密碼數(shù)相
34、同,則輸出“a”,否則仍然輸出“b”。3、 實(shí)驗(yàn)內(nèi)容用vhdl狀態(tài)機(jī)設(shè)計一個8位序列信號檢測器。要求:利用quartusii進(jìn)行文本編輯輸入、仿真測試并給出仿真波形,了解控制信號的時序,最后進(jìn)行引腳鎖定并完成硬件測試實(shí)驗(yàn)。程序設(shè)計及程序分析如下:library ieee ;use ieee.std_logic_1164.all;entity schk is port(din, clk, clr : in std_logic; ab : out std_logic_vector(3 downto 0);end schk;architecture behav of schk is signal q
35、 : integer range 0 to 8 ; signal d : std_logic_vector(7 downto 0); begin d = “11100101” ; process( clk, clr ) begin if clr = 1 then q if din = d(7) then q = 1 ; else q if din = d(6) then q = 2 ; else q if din = d(5) then q = 3 ; else q if din = d(4) then q = 4 ; else q if din = d(3) then q = 5 ; els
36、e q if din = d(2) then q = 6 ; else q if din = d(1) then q = 7 ; else q if din = d(0) then q = 8 ; else q q = 0 ; end case ; end if ; end process ; process( q ) begin if q = 8 then ab = “1010” ; else ab = “1011” ; end if ; end process ;end behav ;四、仿真分析編譯仿真后的波形如下所示:由仿真結(jié)果可以看到,由于預(yù)置的密碼數(shù)是“11100101”,當(dāng)輸入的
37、序列數(shù)與上述的數(shù)字相同,輸出才由b變成a。五、硬件測試過程.按實(shí)驗(yàn)板“系統(tǒng)復(fù)位”鍵;.用鍵 2 和鍵 1 輸入 2 位十六進(jìn)制待測序列數(shù)“11100101”;.按鍵7復(fù)位(平時數(shù)碼6指示顯“b”);.按鍵 6(clk) 8 次,這時若串行輸入的 8 位二進(jìn)制序列碼(分別顯示于數(shù)碼管 2和數(shù)碼管1 以及發(fā)光管 d8d0)與預(yù)置碼“11100101”相同,則數(shù)碼 6 應(yīng)從原來的 b 變成 a,表示序列檢測正確,否則仍為 b。6、 實(shí)驗(yàn)內(nèi)容將8位待測預(yù)置數(shù)作為外部輸入信號,即可以隨時改變序列檢測器中的比較數(shù)據(jù)。寫出此程序的符號化單進(jìn)程有限狀態(tài)機(jī)。程序設(shè)計如下:library ieee;use iee
38、e.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity schk2 isport (din,clk,clr: in std_logic;shr: in std_logic_vector(7 downto 0); ab : out std_logic_vector(3 downto 0);end entity schk2;architecture behav of schk2 is signal q : integer range 0 to 8; signal d : std_logic_vector(7 downto 0); be
39、gind=shr; process(clk,clr) begin if clr=1 then q if din=d(7) then q=1; else q if din=d(6) then q=2; else q if din=d(5) then q=3; else q if din=d(4) then q=4; else q if din=d(3) then q=5; else q if din=d(2) then q=6; else q if din=d(1) then q=7; else q if din=d(0) then q=8; else q q=0; end case; end
40、if; end process; process(q) begin if q=8 then ab=1010; else ab=1011; end if; end process;end architecture behav;七、仿真分析編譯仿真后的波形如下所示:待檢測預(yù)置數(shù)為“00110011”待檢測預(yù)置數(shù)為“11010011”由仿真結(jié)果可以看到,只有當(dāng)輸入的序列數(shù)與由外部輸入的預(yù)置數(shù)字相同,輸出才由b變成a。實(shí)驗(yàn)八 用 quartusii 設(shè)計正弦信號發(fā)生器一、實(shí)驗(yàn)?zāi)康?進(jìn)一步熟悉 quartusii 及其 lpm_rom 與 fpga 硬件資源的使用方法。二、實(shí)驗(yàn)設(shè)計原理正弦信號發(fā)生器的結(jié)
41、構(gòu)由4個部分組成:計數(shù)器或地址發(fā)生器(這里選擇6位);正弦信號數(shù)據(jù)rom(6位地址線,8位數(shù)據(jù)線),含有64個8位數(shù)據(jù)(一個周期);vhdl頂層設(shè)計、8位d/a(實(shí)驗(yàn)中用dac0832代替)。頂層文件singt.vhd在fpga中實(shí)現(xiàn),包含兩個部分:rom的地址信號發(fā)生器,由6位計數(shù)器擔(dān)任;正弦數(shù)據(jù)rom,由lpm-rom模塊組成。lpm-rom底層是fpga中的eab或m4k等模塊。地址發(fā)生器的時鐘clk的輸入頻率f。3、 實(shí)驗(yàn)內(nèi)容要求:在 quartus ii 上完成正弦信號發(fā)生器設(shè)計,包括時序仿真和資源利用情況了解。最后在實(shí)驗(yàn)系統(tǒng)上進(jìn)行硬件測試。程序設(shè)計如下:library ieee;
42、use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity singt isport ( clk : in std_logic; dout : out std_logic_vector (7 downto 0) );end;architecture dacc of singt iscomponent data_rom port(address : in std_logic_vector (5 downto 0); inclock : in std_logic ;q : out std_logic_vector (7 do
43、wnto 0);end component;signal q1 : std_logic_vector (5 downto 0); beginprocess(clk ) beginif clkevent and clk = 1 then q1q1, q = dout,inclock=clk);end;四、實(shí)驗(yàn)過程定制初始化波形數(shù)據(jù)文件:建立.mif格式文件。filenewother files,選擇 memory initialization file選項(xiàng),選擇64點(diǎn)8位的正弦數(shù)據(jù),彈出表格后輸入教材圖4-38中的數(shù)據(jù)。然后以romd.mif的名字保存至新建的文件夾中。定制lpm_rom元件:利
44、用megawizard plug-in manager定制正弦信號數(shù)據(jù)rom宏功能塊,并將以上的波形數(shù)據(jù)加載于此rom中。并以data_rom.vhd名字將生成的用于例化的波形數(shù)據(jù)rom文件保存至上述文件夾中。用vhdl語言完成正弦信號發(fā)生器的頂層設(shè)計:此過程與實(shí)驗(yàn)七的步驟類似。五、仿真分析編譯仿真后的波形如下所示:由仿真波形可以看到,隨著每一個時鐘上升沿的到來,輸出端口將正弦波數(shù)據(jù)依次輸出。將這些數(shù)據(jù)與圖4-38和圖4-39所示的加載與lpm_rom的數(shù)據(jù)比較,可以看出,設(shè)計是正確的。五、硬件測試過程選擇電路模式no.5,時鐘接實(shí)驗(yàn)箱上的clock0;將dout(0)、dout(1)、dou
45、t(7)分別鎖定于實(shí)驗(yàn)系統(tǒng)上與dac0832相接的i/o口:pio24、pio25、pio26、pio27、pio28、pio29、pio30、pio31。編譯下載后,打開+/-12v電壓開關(guān),選擇clock0的頻率為750khz,再將示波器接于實(shí)驗(yàn)箱a/d、d/a板上的兩個掛鉤上觀察正弦波形。從示波器上可看到輸出正弦波符合實(shí)驗(yàn)要求,由此證明設(shè)計是正確的。本學(xué)期實(shí)驗(yàn)心得通過四次實(shí)驗(yàn)下來,我覺得對學(xué)eda有了濃厚的興趣。期間每一次的實(shí)驗(yàn)我都認(rèn)真的對待了,收獲頗多,慢慢引導(dǎo)了我改怎樣去學(xué)好這門eda課程。第一次實(shí)驗(yàn)。實(shí)驗(yàn)做的是組合邏輯電路的vhdl設(shè)計和時序電路的vhdl設(shè)計,我記得我是比較早做完
46、的。因?yàn)樵谏险n的吳老師就要我們裝好quartusii軟件,所以我在很有準(zhǔn)備的對quartusii軟件有了一定熟悉。開始我還在網(wǎng)上搜索怎么使用quartusii軟件,而且還下載了quartusii視頻教程,然后一步一步慢慢的學(xué)會了使用quartusii軟件。當(dāng)我獨(dú)自在設(shè)計組合邏輯電路的vhdl設(shè)計時,我翻閱了書籍全加器的那一節(jié),仔細(xì)看了例題3-18,因?yàn)檫@里也利用到了怎么樣使用例化語句。而且在我編好了程序的時候,自我感覺良好覺得程序沒有什么錯誤。可是一當(dāng)運(yùn)行的時候,就出來了很多的錯誤,什么沒有定義的,什么賦值符號出錯的,第一次修改自己的程序真的是傷透了腦經(jīng),畢竟是第一次編寫vhdl程序,而且還有
47、這么多的錯誤。然后設(shè)計時序電路的vhdl代碼時,這個就簡單的多了,因?yàn)槲覀冎灰獙⑵渲羞M(jìn)程中添加d進(jìn)去,在把其他剩下的殘枝落葉添加好,d鎖存器就設(shè)計好了。在仿真測試的時候,由于我事先做好了充分的準(zhǔn)備,在幾乎不到半個小時的樣子我的實(shí)驗(yàn)就做完了。然后我就在幫助其他的同學(xué)調(diào)試出錯什么的。但是我碰到最多的問題就是他們在做“雙2選1多路選擇器”的時候,都忘記將底層文件添加進(jìn)去,所以導(dǎo)致后面的程序頻繁出錯。其他注意事項(xiàng),比如實(shí)體名要和vhdl文本名一樣,賦值符號別弄錯了,程序包調(diào)用設(shè)置等等。第二次實(shí)驗(yàn)。使我印象最深刻的是,我在編寫10進(jìn)制加減可控計數(shù)器的時候費(fèi)了好大的心思。因?yàn)槲矣浀美蠋煵贾媒o我們的作業(yè)里面
48、有個設(shè)計“含有異步清零和計數(shù)使能的16位二進(jìn)制加減可控計數(shù)器”,我想起了那個程序,然后我就努力的把那個程序改成10進(jìn)制加減可控計數(shù)器。但是我在調(diào)試了n遍之后,老是顯示什么“=”附近出錯,我改了很久,仍然沒有改正確。然后我又找了我們班的同學(xué),我把我設(shè)計此程序的意思講解給他們聽了,但是他們也費(fèi)了很大的盡還是沒有改出來。最后沒有辦法,只能求救吳老師幫忙了,我把我設(shè)計此程序的意思和附加我設(shè)計的程序通過郵箱發(fā)給了吳老師。等看到吳老師回復(fù)給我的郵件時,我才恍然大悟,我把if各種語句的使用全部弄混淆了,最后把我自己也弄的稀里糊涂了,就這樣經(jīng)過老師的幫忙,我才得以設(shè)計出用choose的高低電平來控制,高電平控
49、制計數(shù)器的加法,低電平控制計數(shù)器的減法。然后在對應(yīng)的將其他的地方編寫好,費(fèi)了我很大心思的10進(jìn)制加減可控計數(shù)器就這樣誕生了。第三次實(shí)驗(yàn)。我認(rèn)真做好事先的預(yù)習(xí)準(zhǔn)備,因?yàn)槲腋杏X這里的實(shí)驗(yàn)和我們學(xué)單片機(jī)的實(shí)驗(yàn)有點(diǎn)相像,都是利用數(shù)碼管掃描來顯示數(shù)據(jù)。于是我就把我們學(xué)的單片機(jī)上的程序和vhdl的程序?qū)?yīng)起來,只是單片機(jī)用的是匯編語言,vhdl用的硬件描述語言,雖然兩者的語言不同,但是大體的思路還是相同的,只不過單片機(jī)要設(shè)置延時程序,而vhdl不要設(shè)置延時程序,系統(tǒng)已經(jīng)幫我們調(diào)好了,只要我們把程序編好,放到機(jī)器里面用就ok了。兩者的對比之下,我學(xué)會了硬件掃描顯示電路的設(shè)計思路。然后后面的程序還好做一些,經(jīng)
50、過吳老師實(shí)驗(yàn)前的講解,使得我們在實(shí)驗(yàn)操作過程中少走了彎路,才得以使得我們有充分的時間在研究程序,不斷的發(fā)現(xiàn)問題。第四次實(shí)驗(yàn)。由于聽說老師考試的時候不考狀態(tài)機(jī),所以我這次的實(shí)驗(yàn)就沒有充分的預(yù)習(xí)好。但是在得到同學(xué)們的程序后還是能迅速的將實(shí)驗(yàn)做出來。因?yàn)槲艺J(rèn)真做了前面三次的實(shí)驗(yàn),也積累了不少的經(jīng)驗(yàn),拿著程序迅速的將指示顯示出來了,當(dāng)按clk八下時,如果敲進(jìn)去的8位二進(jìn)制序列碼與預(yù)置碼相同,則在數(shù)碼管上顯示出a字樣。但是我并沒有馬上叫老師來檢查我的結(jié)果,而是坐下來慢慢把程序看完,不懂的地方問了同學(xué)才得以了解了此程序的用意。但是此次實(shí)驗(yàn)讓我最痛苦的實(shí)驗(yàn)是“用quartusii設(shè)計正弦信號發(fā)生器”,老師說
51、只要我們仿真出來就可以了。然后我就按照書上圖4-38到圖4-45的步驟一個一個的來弄,在經(jīng)過曲折漫長的過程中,我弄出來了。但是我覺得有幾點(diǎn)是非常重要的,因?yàn)檫@是同學(xué)們經(jīng)常出現(xiàn)的錯誤,我告訴他們之后,他們就一下出來了,最后比我的還在早出來。首先是定制初始化數(shù)據(jù)文件,兩種方法只能用一種,因?yàn)橛械娜擞玫慕?mif格式文件,但是取名卻為sdata.hex。其次是波形數(shù)據(jù)敲錯,有的人看的眼睛花了,敲錯了一個數(shù)據(jù)還不知道,還在那里一個盡的往下敲。最后就是完成頂層文件時,要把將生成的.hex文件和兩個.vhd文件都添加進(jìn)去,這樣才能保證程序的正確仿真。但是我偏偏忘記的就是最后一步,在完成頂層設(shè)計時,忘記將
52、.hex文件和兩個.vhd文件添加進(jìn)去了,我還老是在往前面看哪里出錯了,簡直把我傷透了腦經(jīng)。最后在請助教幫忙的情況下才得知我錯誤的根源,我這才恍然大悟,原來我犯了這么一個低級的錯誤,害的我在那里重復(fù)操作了半天。 最后,這四次實(shí)驗(yàn)我在和同學(xué)們在一起商討一起做實(shí)驗(yàn)的過程中,學(xué)到了許多在我們課程中學(xué)不到的東西,使我更加加深了對vhdl實(shí)驗(yàn)的感性認(rèn)識。鞏固了我的部分理論知識;學(xué)會了使用硬件描述語言編寫一些簡單的程序;掌握了quartusii軟件使用,培養(yǎng)了我的實(shí)踐技能,更為了我以后的實(shí)習(xí)奠定了一定的基礎(chǔ)。再附上: 蚇肁芀芇薃肀罿蒃葿蚆膂芆蒅蚅芄薁螃蚅羄莄蠆蚄肆蕿薅蚃膈莂蒁螞芁膅螀螁羀莁蚆螀肂膃薂螀芅荿
53、薈蝿羄節(jié)蒄螈肇蕆螃螇腿芀蠆螆芁蒅薅螅羈羋蒁襖肅蒄莇襖膆芇蚅袃裊蒂蟻袂肈蒞薇袁膀薀蒃袀節(jié)莃螂衿羂膆蚈羈肄莁薄羈膆膄蒀羇袆莀莆羆肈膃螄羅膁蒈蝕羄芃芁薆羃羃蒆蒂羂肅艿螁肂膇蒅蚇肁芀芇薃肀罿蒃葿蚆膂芆蒅蚅芄薁螃蚅羄莄蠆蚄肆蕿薅蚃膈莂蒁螞芁膅螀螁羀莁蚆螀肂膃薂螀芅荿薈蝿羄節(jié)蒄螈肇蕆螃螇腿芀蠆螆芁蒅薅螅羈羋蒁襖肅蒄莇襖膆芇蚅袃裊蒂蟻袂肈蒞薇袁膀薀蒃袀節(jié)莃螂衿羂膆蚈羈肄莁薄羈膆膄蒀羇袆莀莆羆肈膃螄羅膁蒈蝕羄芃芁薆羃羃蒆蒂羂肅艿螁肂膇蒅蚇肁芀芇薃肀罿蒃葿蚆膂芆蒅蚅芄薁螃蚅羄莄蠆蚄肆蕿薅蚃膈莂蒁螞芁膅螀螁羀莁蚆螀肂膃薂螀芅荿薈蝿羄節(jié)蒄螈肇蕆螃螇腿芀蠆螆芁蒅薅螅羈羋蒁襖肅蒄莇襖膆芇蚅袃裊蒂蟻袂肈蒞薇袁膀薀蒃袀節(jié)莃
54、螂衿羂膆蚈羈肄莁薄羈膆膄蒀羇袆莀莆羆肈膃螄羅膁蒈蝕羄芃芁薆羃羃蒆蒂羂肅艿螁肂膇蒅蚇肁芀芇薃肀罿蒃葿蚆膂芆蒅蚅芄薁螃蚅羄莄蠆蚄肆蕿薅蚃膈莂蒁螞芁膅螀螁羀莁蚆螀肂膃薂螀芅荿薈蝿羄節(jié)蒄螈肇蕆螃螇腿芀蠆螆芁蒅薅螅羈羋蒁襖肅蒄莇襖膆芇蚅袃裊蒂蟻袂肈蒞薇袁膀薀蒃袀節(jié)莃螂衿羂膆蚈羈肄莁薄羈膆膄蒀羇袆莀莆羆肈膃螄羅膁蒈蝕羄芃芁薆羃羃蒆蒂羂肅艿螁肂膇蒅蚇肁芀芇薃肀罿蒃葿蚆膂芆蒅蚅芄薁螃蚅羄莄蠆蚄肆蕿薅蚃膈莂蒁螞芁膅螀螁羀莁蚆螀肂膃薂螀芅荿薈蝿羄節(jié)蒄螈肇蕆螃螇腿芀蠆螆芁蒅薅螅羈羋蒁襖肅蒄莇襖膆芇蚅袃裊蒂蟻袂肈蒞薇袁膀薀蒃袀節(jié)莃螂衿羂膆蚈羈肄莁薄羈膆膄蒀羇袆莀莆羆肈膃螄羅膁蒈蝕羄芃芁薆羃羃蒆蒂羂肅艿螁肂膇蒅蚇肁芀芇
55、薃肀罿蒃葿蚆膂芆蒅蚅芄薁螃蚅羄莄蠆蚄肆蕿薅蚃膈莂蒁螞芁膅螀螁羀莁蚆螀肂膃薂螀芅荿薈蝿羄節(jié)蒄螈肇蕆螃螇腿芀蠆螆芁蒅薅螅羈羋蒁襖肅蒄莇襖膆芇蚅袃裊蒂蟻袂肈蒞薇袁膀薀蒃袀節(jié)莃螂衿羂膆蚈羈肄莁薄羈膆膄蒀羇袆莀莆羆肈膃螄羅膁蒈蝕羄芃芁薆羃羃蒆蒂羂肅艿螁肂膇蒅蚇肁芀芇薃肀罿蒃葿蚆膂芆蒅蚅芄薁螃蚅羄莄蠆蚄肆蕿薅蚃膈莂蒁螞芁膅螀螁羀莁蚆螀肂膃薂螀芅荿薈蝿羄節(jié)蒄螈肇蕆螃螇腿芀蠆螆芁蒅薅螅羈羋蒁襖肅蒄莇襖膆芇蚅袃裊蒂蟻袂肈蒞薇袁膀薀蒃袀節(jié)莃螂衿羂膆蚈羈肄莁薄羈膆膄蒀羇袆莀莆羆肈膃螄羅膁蒈蝕羄芃芁薆羃羃蒆蒂羂肅艿螁肂膇蒅蚇肁芀芇薃肀罿蒃葿蚆膂芆蒅蚅芄薁螃蚅羄莄蠆蚄肆蕿薅蚃膈莂蒁螞芁膅螀螁羀莁蚆螀肂膃薂螀芅荿薈蝿羄節(jié)蒄螈肇蕆螃螇腿芀蠆螆芁蒅薅螅羈羋蒁襖肅蒄莇襖膆芇蚅袃裊蒂蟻袂肈蒞薇袁膀薀蒃袀節(jié)莃螂衿羂膆蚈羈肄莁薄羈膆膄蒀羇袆莀莆羆肈膃螄羅膁蒈蝕羄芃芁薆羃羃蒆蒂羂肅艿螁肂膇蒅蚇肁芀芇薃肀罿蒃葿蚆膂芆蒅蚅芄薁螃蚅羄莄蠆蚄肆蕿薅蚃膈莂蒁螞芁膅螀螁羀莁蚆螀肂膃薂螀芅荿薈蝿羄節(jié)蒄螈肇蕆螃螇腿芀蠆螆芁蒅薅螅羈羋蒁襖肅蒄莇襖膆芇蚅袃裊蒂蟻袂肈蒞薇袁膀薀蒃袀節(jié)莃螂衿羂膆蚈羈肄莁薄羈膆膄蒀羇袆莀莆羆肈膃螄羅膁蒈蝕羄芃芁薆羃羃蒆蒂羂肅艿螁肂膇蒅蚇肁芀芇薃肀罿蒃葿蚆膂芆蒅蚅芄薁螃蚅羄莄蠆蚄肆蕿薅蚃膈莂蒁螞芁膅螀螁羀莁蚆螀肂膃薂螀芅
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 普通話與演講AB學(xué)習(xí)通超星期末考試答案章節(jié)答案2024年
- 計算機(jī)辦公技術(shù)與應(yīng)用(本)學(xué)習(xí)通超星期末考試答案章節(jié)答案2024年
- 老年病護(hù)理學(xué)學(xué)習(xí)通超星期末考試答案章節(jié)答案2024年
- 云南省西南林業(yè)大學(xué)選調(diào)真題
- 2024年常用勞務(wù)協(xié)議格式樣本
- 2024年專業(yè)單項(xiàng)工程承攬協(xié)議模板
- 2023年益陽市高中(中職)教師考試真題
- 2024年奶茶店商用房租賃協(xié)議
- 2024商業(yè)空間大理石鋪裝工程協(xié)議
- 2023年菏澤鄆城縣人民醫(yī)院招聘考試真題
- GH/T 1419-2023野生食用菌保育促繁技術(shù)規(guī)程灰肉紅菇
- 鼻咽癌的放射治療課件
- 明孝端皇后九龍九鳳冠
- 注塑車間規(guī)劃方案
- 營養(yǎng)不良五階梯治療
- 標(biāo)本運(yùn)送培訓(xùn)課件
- 護(hù)士與醫(yī)生的合作與溝通
- GB 42295-2022電動自行車電氣安全要求
- 產(chǎn)品系統(tǒng)設(shè)計開發(fā) 課件 第4、5章 產(chǎn)品系統(tǒng)設(shè)計類型、產(chǎn)品系統(tǒng)設(shè)計開發(fā)綜合案例
- 1編譯原理及實(shí)現(xiàn)課后題及答案
- 讓閱讀成為習(xí)慣家長會課件
評論
0/150
提交評論