課程設計實驗報告基于CPLDEDA的電梯控制系統(tǒng)_第1頁
課程設計實驗報告基于CPLDEDA的電梯控制系統(tǒng)_第2頁
課程設計實驗報告基于CPLDEDA的電梯控制系統(tǒng)_第3頁
課程設計實驗報告基于CPLDEDA的電梯控制系統(tǒng)_第4頁
課程設計實驗報告基于CPLDEDA的電梯控制系統(tǒng)_第5頁
已閱讀5頁,還剩10頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權,請進行舉報或認領

文檔簡介

1、基于sopc/eda的電梯控制系統(tǒng)目錄第一章 電梯的概述21.1電梯的定義21.2電梯微機化控制分類2第二章 sopc/eda在電梯控制中的應用32.1 項目實現(xiàn)原理32.2 項目分塊及其實現(xiàn)方案42.3 分塊源程序52.4 仿真波形132.5 總體組裝圖142.6 引腳綁定表142.7 硬件測試結果及其分析14設計總結15參考文獻15第一章 電梯的概述1.1 電梯的定義隨著社會的發(fā)展,科技的進步,電梯的使用越來越普遍,已經(jīng)從商業(yè)大廈、賓館使用,過渡到在辦公樓、民居樓等場所使用,而且對電梯的控制系統(tǒng)功能的要求也越來越多,相應的電梯的控制系統(tǒng)也在不斷的發(fā)生變化。對于電梯的控制,傳統(tǒng)的方法是使用繼

2、電器接觸器控制系統(tǒng)進行控制,隨著技術的不斷發(fā)展,微型計算機在電梯控制上的應用日益廣泛,現(xiàn)在已進入全微機化控制的時代。電梯是服務于規(guī)定樓層的固定式升降設備。它具有一個轎廂,運行在至少兩列垂直的傾角小于15度的鋼性導軌之間。轎廂尺寸與結構形式便于乘客出入或裝卸貨物。它適用于裝置在兩層以上的建筑內(nèi),是輸送人員或貨物的垂直提升設備的交通工具。1.2 電梯微機化控制分類電梯的微機化控制主要有以下幾種形式:plc控制;單片機控制;單板機控制;單微機控制;多微機控制;人工智能控制。第二章 sopc/eda在電梯控制中的應用2.1 項目實現(xiàn)原理根據(jù)系統(tǒng)設計要求,并考慮到系統(tǒng)的可檢驗性,整個系統(tǒng)的輸入輸出接口設

3、計如圖2-1所示:系統(tǒng)工作用2hz基準時鐘信號clkin,樓層上升請求鍵upin,樓層下降請求鍵downin,樓層選擇鍵入鍵st_ch,提前關門輸入鍵close,延遲關門輸入鍵delay,電梯運行的開關鍵run_stop,電梯運行或停止指示鍵lamp,電梯運行或等待時間指示鍵run_wait,電梯所在樓層指示數(shù)碼管st_out,樓層選擇指示數(shù)碼管direct. dtkzqclkin lampupin downinst_ch1 ru_waitclose st_outdelay directrun_stop圖 2.1 電梯控制器dtkzq的輸入輸出接口圖各輸入端口的功能如下:clkin:基準時鐘信

4、號,為系統(tǒng)提供2hz的時鐘脈沖,上升沿有效;upin:電梯上升請求鍵。由用戶向電梯控制器發(fā)出上升請求。高電平有效;downin:電梯下降請求鍵,由用戶向電梯控制器發(fā)出下降請求。高電平有效;st_ch2.0:樓層選擇鍵入鍵,結合direct完成樓層選擇的鍵入,高電平有效;close:提前關門輸入鍵??蓪崿F(xiàn)無等待時間的提前關門操作,高電平有效;delay:延遲關門輸入鍵??蓪崿F(xiàn)有等待時間的延遲關門操作,高電平有效;run_stop:電梯運行或停止開關鍵。可實現(xiàn)由管理員控制電梯的運行或停止,高電平有效。一下為輸出端口:lamp:電梯運行或等待指示鍵,指示電梯的運行或等待狀況。高電平有效;run_wa

5、it:電梯運行或等待時間指示鍵,指示電梯運行狀況或等待時間的長短,高電平有效;st_out:電梯所在樓層指示數(shù)碼管,只是電梯當前所在的樓層數(shù)。即15層,高電平有效;direct:樓層選擇指示數(shù)碼管,指示用戶所要選擇的樓層數(shù),高電平有效。2.2 項目分塊及其實現(xiàn)方案電梯的控制狀態(tài)包括運行狀態(tài)停止狀態(tài)及等待狀態(tài),其中運行狀態(tài)又包含向上狀態(tài)和向下狀態(tài)。主要動作有開、關門,乘客可通過鍵入開、關門按鍵,呼喚按鍵,指定樓層按鈕等來控制電梯的行與停。據(jù)此,整個電梯控制器dtlzq應包括如下幾個組成部分:時序輸出及樓選計數(shù)器;電梯服務請求處理器;電梯升降控制器;上升及下降寄存器;電梯次態(tài)生成器。該電梯控制器設

6、計的關鍵是確定上升及下降寄存器的置位與復位。整個系統(tǒng)的內(nèi)部組成結構圖2.2所示。電梯服務請求處理器時序輸出及樓選計數(shù)器(dir)drectclkinuoindowninst_ch電梯升降 控制器(liftor)delay電梯次態(tài)生成器(ladd)上升請求寄存器(ur)下降請求寄存器(dr)closerun_stoplamprun_waitst_out圖2.2 電梯控制器的內(nèi)部組成結構圖時鐘clk請求信號輸入模塊樓層請求超 載超 載關門中斷提前關門清楚報警控制模塊 輸出顯示模塊請求信號顯示運行狀態(tài)顯示所在樓層顯示門控制信號超載報警 圖2.3 電梯控制器系統(tǒng)框圖2.3 分塊源程序2.3.1 主要v

7、hdl 源程序-dtkzq.vhdlibrary ieee;use ieee.std_logic_1164.all ;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity dtkzq isport(clkin:in std_logic; upin:in std_logic; downin:in std_logic; st_ch:in std_logic; close:in std_logic; delay:in std_logic; run_stop:in std_logic; lamp:out std_logi

8、c;run_wait:out std_logic_vector(3 downto 0); st_out:out std_logic_vector(3 downto 0); direct:out std_logic_vector(3 downto 0);end entity dtkzq;architecture art of dtkzq issignal ur,dr:std_logic_vector(16 downto 1);signal dir,liftor:std_logic_vector(3 downto 0);signal wai_t:std_logic_vector(2 downto

9、0);signal divid,hand:std_logic;signal ladd:std_logic_vector(1 downto 0);signal closex,delayx,lamp0:std_logic;begin 2.3.2 內(nèi)部信號值的輸出direct=dir+1; st_out=liftor+1; run_wait=0&wai_t; p0:process(clkin) is begin if (clkinevent and clkin=1) then lamp=ladd(1); end if;end process p0;hand=wai_t(2)and (not wai_

10、t(1)and wai_t(0);closex=close and (not ladd(1);delayx=delay and (not ladd(1);2.3.3 分頻及樓選信號產(chǎn)生進程p1:process(clkin)beginif (clkinevent and clkin=1) then divid=not divid; if (dir=1111) then dir=0000; else dirnum)and (st_ch=1)or (upin=1)then case t is when 1 = ur(1) ur(2) ur(3) ur(4) ur(5)null; end case;e

11、lsif (hand=1)then case num is when 1 = ur(1) ur(2) ur(3) ur(4) ur(5)null; end case; end if;if (t dr(1) dr(2) dr(3) dr(4) dr(5)null; end case; elsif (hand=1) then case num iswhen 1 = dr(1) dr(2) dr(3) dr(4) dr(5)null; end case; end if;else ur=0000000000000000; dr=0000000000000000; end if; end process

12、;2.3.5 電梯運行次態(tài)的控制進程p3:process(ur,dr,liftor,ladd,wai_t,run_stop)begin if (run_stop=1) then if (wai_t=110) then if (ur or dr)=0000000000000000) then ladd(1)if (ur(1)or dr(1)0) then ladd(1)=0; else laddif (ur(2)or dr(2)0) then ladd(1)00000000000000) or(ur(1)or dr(1)=0) then ladd =11; else laddif (ur(3)

13、or dr(3)0) then ladd(1)0000000000000) or(ur(2 downto 1) or dr(2 downto 1)=00) then ladd=11; else laddif (ur(4) or dr(4)0) then ladd(1)000000000000) or(ur(3 downto 1) or dr(3 downto 1)=000) then ladd=11; else laddif (ur(5) or dr(5)0) then ladd(1)00000000000) or(ur(4 downto 1) or dr(4 downto 1)=0000)

14、then ladd=11; else laddif (ur(6) or dr(6)0) then ladd(1)0000000000 ) or(ur(5 downto 1) or dr(5 downto 1)=00000) then ladd=11; else laddif (ur(7) or dr(7)0) then ladd(1)000000000) or(ur(6 downto 1) or dr(6 downto 1)=000000) then ladd=11; else laddif (ur(8) or dr(8)0) then ladd(1)00000000 ) or(ur(7 do

15、wnto 1) or dr(7 downto 1)=0000000) then ladd=11; else laddif (ur(9) or dr(9)0) then ladd(1)0000000 ) or(ur(8 downto 1) or dr(8 downto 1)=00000000)then ladd=11; else laddif (ur(10) or dr(10)0) then ladd(1)000000 ) or(ur(9 downto 1) or dr(9 downto 1)=000000000) then ladd=11; else laddif (ur(11) or dr(

16、11)0) then ladd(1)00000) or(ur(10 downto 1) or dr(10 downto 1)=0000000000) then ladd=11; else laddif (ur(12) or dr(12)0) then ladd(1)0000 ) or(ur(11 downto 1) or dr(11 downto 1)=00000000000) then ladd=11; else laddif (ur(13) or dr(13)0) then ladd(1)000 ) or(ur(12 downto 1) or dr(12 downto 1)=0000000

17、00000) then ladd=11; else laddif (ur(14) or dr(14)0) then ladd(1)00 ) or(ur(13 downto 1) or dr(13 downto 1)=0000000000000) then ladd=11; else laddif (ur(15)or dr(15)0) then ladd(1)0) or (ur(14 downto 1) or dr(14 downto 1)=00000000000000) then ladd=11; else laddif (ur(16) or dr(16)0) then ladd(1)=0;

18、else laddnull; end case; end if; end if; else ladd=00; end if; end process;2.3.6 電梯運行樓層計數(shù)及提前/延遲關門控制進程p4:process(wai_t,ladd,closex,delayx,divid) begin if (dividevent and divid=1) then if (wai_t=000 or closex=1) then wai_t=110; else if (delayx=0)then wai_t=wai_t-1; else wai_t=010; end if;if (ladd=11) then liftor=liftor+1; elsif (ladd=10) then liftor=liftor-1; end if; end if; end if; end process;end archit

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論