FPGA課程設(shè)計(jì)二進(jìn)制相位鍵控(PSK)調(diào)制器與解調(diào)器設(shè)計(jì)_第1頁(yè)
FPGA課程設(shè)計(jì)二進(jìn)制相位鍵控(PSK)調(diào)制器與解調(diào)器設(shè)計(jì)_第2頁(yè)
FPGA課程設(shè)計(jì)二進(jìn)制相位鍵控(PSK)調(diào)制器與解調(diào)器設(shè)計(jì)_第3頁(yè)
FPGA課程設(shè)計(jì)二進(jìn)制相位鍵控(PSK)調(diào)制器與解調(diào)器設(shè)計(jì)_第4頁(yè)
FPGA課程設(shè)計(jì)二進(jìn)制相位鍵控(PSK)調(diào)制器與解調(diào)器設(shè)計(jì)_第5頁(yè)
已閱讀5頁(yè),還剩27頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、武漢理工大學(xué)fpga原理及應(yīng)用課程設(shè)計(jì)報(bào)告附件1 :學(xué) 號(hào):式修理1人考課程設(shè)計(jì)二進(jìn)制相位鍵控(psk)調(diào)制題 目器與解調(diào)器設(shè)計(jì)學(xué)院信息工程學(xué)院專業(yè)通信工程班 級(jí)姓 名指導(dǎo)教師陳適2014年6月18日課程設(shè)計(jì)任務(wù)書(shū)學(xué)生姓名:專業(yè)班級(jí):通信工程指導(dǎo)教師:陳適 工作單位: 信息工程學(xué)院題 目:二進(jìn)制相位鍵控(psk調(diào)制器與解調(diào)器設(shè)計(jì)初始條件:(1) quartus ii 、ise 等軟件;(2)課程設(shè)計(jì)輔導(dǎo)書(shū):xilinx fpga 設(shè)計(jì)與實(shí)踐教程(3)先修課程:數(shù)字電子技術(shù)、模擬電子技術(shù)、通信原理主要任務(wù):(1)掌握2cpsk 2dpsk勺調(diào)制與解調(diào)原理;(2)掌握仿真軟件quartus ii的

2、使用方法;(3)完成對(duì)2cpsk 2dpsk勺調(diào)制與解調(diào)仿真電路設(shè)計(jì),并對(duì)仿真結(jié)果進(jìn)行分析。時(shí)間安排:(1) 2014年6月11日-2014年6月18日理論設(shè)計(jì)、仿真設(shè)計(jì)地點(diǎn):鑒主13樓通信工程綜合實(shí)驗(yàn)室、鑒主15樓通信工程實(shí)驗(yàn)室。(2) 2014年6月18日進(jìn)行理論答辯。年 月日年 月日指導(dǎo)教師簽名: 系主任(或責(zé)任教師)簽名:武漢理工大學(xué)fpga原理及應(yīng)用課程設(shè)計(jì)報(bào)告摘要移動(dòng)通信迅速發(fā)展的得以實(shí)現(xiàn),離不開(kāi)數(shù)字處理技術(shù)。其中,數(shù)字調(diào)制與解調(diào)技 術(shù)在通信領(lǐng)域中發(fā)揮著重大作用。為了使數(shù)字信號(hào)在帶通信道中傳輸,必須使用數(shù)字 基帶信號(hào)對(duì)載波進(jìn)行調(diào)制,以使信號(hào)與信道的特性匹配,再在接收端通過(guò)解調(diào)恢復(fù)出

3、 原始數(shù)字信號(hào),實(shí)現(xiàn)數(shù)字信息的傳遞。相移鍵控(psk就是數(shù)字信號(hào)調(diào)制的一種有 用并且廣泛使用的方式。為了很好地完成本次 fpgas程設(shè)計(jì),我對(duì)2cpsk 2dpsk勺 調(diào)制與解調(diào)原理進(jìn)行了深入的了解和研究; 利用仿真軟件quartus ii ,對(duì)2cpsk2dpsk 進(jìn)行調(diào)制與解調(diào)的設(shè)計(jì)和仿真,并對(duì)仿真結(jié)果進(jìn)行了分析。關(guān)鍵詞:psk quartus ii ;數(shù)字調(diào)制;仿真2abstractrealizing the rapid development of mobile communication, cannot do without the digital processing techno

4、logy. additionly, digital modulation and demodulation technology plays an important role in the field of communication. in order to make the digital signaltransmit in a communication channel, digital baseband signal must be on the carrier modulation, so that the characteristics of the signal must ma

5、tch with channel .then the receiver through demodulation restores the original digital signal by demodulation, so transmission of digital information is accomplished. phase shift keying (psk)is one kind of digital signal modulation that is useful and widely . in order to complete the fpga curriculum

6、 design, i have conducted a deep study and research about the modulation and demodulation principle of 2cpsk and 2dpsk .by using the simulation software quartus ii,i design and emulate the modulation and demodulation of 2cpsk and 2dpsk, and the simulation results are analyzed.key word: psk; quartus

7、ii; digital modulation ; emulation武漢理工大學(xué)fpga原理及應(yīng)用課程設(shè)計(jì)報(bào)告目錄ii摘要iabstract1 .前言12 .基本原理及數(shù)學(xué)模型22.1 相移鍵控psk的原理22.2 2cpsk的調(diào)制與解調(diào)原理22.2.1 2cpsk 的調(diào)制22.2.2 2cpsk 的解調(diào)42.3 2dpsk的調(diào)制與解調(diào)原理42.3.1 2dpsk 的調(diào)制42.3.2 2dpsk 的解調(diào)72.4 2 cpsk 和 2dpsk勺比較83 .仿真結(jié)果記錄與分析103.1 仿真環(huán)境介紹103.2 仿真波形結(jié)果分析103.2.1 2cpsk的調(diào)制與解調(diào) 113.2.2 2dpsk的調(diào)制

8、與解調(diào)123.3 仿真生成的電路圖 133.3.1 2cpsk調(diào)制與解調(diào)仿真生成的 rtl視圖及電路圖 133.3.3 2dpsk調(diào)制與解調(diào)仿真生成的 rtl視圖及電路圖 154 .設(shè)計(jì)及實(shí)現(xiàn)過(guò)程中遇到的問(wèn)題 165 .心得體會(huì)1819附錄20附錄1 2cpsk調(diào)制器的程序代碼20附錄2 2cpsk解調(diào)器的程序代碼21附錄3 2dpsk調(diào)制器絕對(duì)碼轉(zhuǎn)換為相對(duì)碼的程序代碼 22附錄4 2dpsk解調(diào)器相對(duì)碼轉(zhuǎn)換為絕對(duì)碼的程序代碼 23iii武漢理工大學(xué)fpga原理及應(yīng)用課程設(shè)計(jì)報(bào)告1.前言調(diào)制解調(diào)在通信系統(tǒng)中具有十分重要的作用。 通過(guò)調(diào)制,不僅可以進(jìn)行頻譜搬移, 把調(diào)制信號(hào)的頻譜搬移到所希望的位

9、置上,從而將調(diào)制信號(hào)轉(zhuǎn)換成合適于信道傳輸或 便于信道多路復(fù)用的已調(diào)信號(hào),而且它對(duì)系統(tǒng)的傳輸有效性和傳輸可靠性有著很大的 影響。數(shù)字傳輸系統(tǒng)分為基帶傳輸系統(tǒng)和頻帶傳輸系統(tǒng),為了適應(yīng)某種需要,大部分 傳輸系統(tǒng)采用頻帶傳輸。數(shù)字信號(hào)對(duì)高頻載波進(jìn)行調(diào)制,就變?yōu)轭l帶信號(hào),接收端解 調(diào)后又恢復(fù)成數(shù)字信號(hào)。于是整個(gè)過(guò)程也就涉及到了一個(gè)調(diào)制解調(diào)的過(guò)程。而調(diào)制解 調(diào)方法的選擇與好壞直接影響到了整個(gè)通信系統(tǒng)的質(zhì)量。移相鍵控在數(shù)據(jù)傳輸中,尤其是在中速和中高速的數(shù)據(jù)傳輸(2400-4800bit/s )中得到了廣泛的應(yīng)用。主要應(yīng)用 領(lǐng)域有數(shù)字微波通信系統(tǒng)、數(shù)字衛(wèi)星通信系統(tǒng)、寬帶接入與移動(dòng)通信及有線電視的上 行傳輸。相

10、移鍵控有很好的抗干擾性,在有衰落的信道中也能獲得很好的效果。實(shí)際的通信系統(tǒng)通常是復(fù)雜的大規(guī)模系統(tǒng),在噪聲和各種隨機(jī)因素的影響下,要 完成實(shí)際設(shè)計(jì)的通信系統(tǒng)的試驗(yàn)研究比較困難,有時(shí)要改變系統(tǒng)的某一兩個(gè)參數(shù)就可 能意味著整個(gè)系統(tǒng)需要重做,利用 quartusii的計(jì)算機(jī)仿真,具有經(jīng)濟(jì)、可靠、簡(jiǎn)便 等特點(diǎn),在工程領(lǐng)域得到了越來(lái)越廣泛的應(yīng)用。因此本論文想要解決的問(wèn)題是完成對(duì)數(shù)字相位鍵控 (psk)調(diào)制解調(diào)系統(tǒng)的仿真及 相關(guān)分析。252.基本原理及數(shù)學(xué)模型2.1 相移鍵控psk的原理數(shù)字信號(hào)對(duì)載波相位調(diào)制稱為相移鍵控(即相位鍵控)psk ( phase shiftkeying)。數(shù)字相位調(diào)制(相位鍵控)

11、是用數(shù)字基帶信號(hào)控制載波的相位,使載波的 相位發(fā)生跳變的一種調(diào)制方式。二進(jìn)制相位鍵控用同一個(gè)載波的兩種相位來(lái)代表數(shù)字 信號(hào)。由于psks統(tǒng)抗噪聲性能優(yōu)于askffi fsk而且頻帶利用率較高,所以,在中、 高速數(shù)字通信中被廣泛采用。數(shù)字調(diào)相(相位鍵控)常分為:(1)絕對(duì)調(diào)相,記為cpsk (2)相對(duì)調(diào)相,記為 dpsk對(duì)于二進(jìn)制的絕對(duì)調(diào)相記為 2cpsk相對(duì)調(diào)相記為2dpsk2.2 2cpsk的調(diào)制與解調(diào)原理所謂絕對(duì)調(diào)相即cpsk是利用載波的不同相位去直接傳送數(shù)字信息的一種方式。 對(duì)二進(jìn)制cpsk若用相位冗代表“0”碼,相位0代表“1”碼,即規(guī)定數(shù)字基帶信號(hào) 為“ 0”碼時(shí),已調(diào)信號(hào)相對(duì)于載波

12、的相位為 泥;數(shù)字基帶信號(hào)為“1”碼時(shí),已調(diào) 信號(hào)相對(duì)于載波相位為同相。按此規(guī)定,2cps k信號(hào)的數(shù)學(xué)表示式為acos(2n f t+e ) 為 “1” 碼cu2cpsk- acos(2 f t+6 0+s 為 “0” 碼式中 0為載波的初相位。受控載波在 0、九兩個(gè)相位上變化。關(guān)于 cpsk形的 特點(diǎn),必須強(qiáng)調(diào)的是:cpsk形相位是相對(duì)于載波相位而言的。 因此畫(huà)cpsk形時(shí), 必須先把載波畫(huà)好,然后根據(jù)相位的規(guī)定,才能畫(huà)出它的波形。2.2.1 2cpsk 的調(diào)制cps明制有直接調(diào)相法和相位選擇法兩種方法。1 .直接調(diào)相法直接調(diào)相法的電路采用一個(gè)環(huán)形調(diào)制器。在cpskm制中,當(dāng)基帶信號(hào)為正時(shí)

13、,輸出載波與輸入同相,當(dāng)基帶信號(hào)為負(fù)時(shí),輸出載波與輸入載波反相,從而實(shí)現(xiàn)了 cpsks制2 .相位選擇法1圖2.1相位選擇法電路相位選擇法電路如上圖所示,設(shè)振蕩器產(chǎn)生的載波信號(hào)為acos(2行力,它加到與門1,同時(shí)該振蕩信號(hào)經(jīng)倒相器變?yōu)?刃8乂初丁一.),加到與門2,基帶信號(hào)和它 的倒相信號(hào)分別作為與門1及與門2的選通信號(hào)?;鶐盘?hào)為1碼時(shí),與門1選通, 輸出為a8s(2唬t);基帶信號(hào)為“ 0”碼時(shí),與門2選通,輸出為月c。式珂/ +六), 即可得到2cpsk號(hào)。圖2.2 2cpsk調(diào)制框圖2cpsk調(diào)制器結(jié)才圖如圖2.2所示。計(jì)數(shù)器對(duì)外部時(shí)鐘信號(hào)進(jìn)行分頻與計(jì)數(shù),并 輸出兩路相位不同的數(shù)字載

14、波信號(hào);2選1開(kāi)關(guān)在基帶信號(hào)的控制下,對(duì)兩路載波信 號(hào)進(jìn)行選擇,輸出信號(hào)即為2cpskf號(hào)。2.2.2 2cpsk 的解調(diào)圖2.3 2cpsk解調(diào)框圖cpskb調(diào)器的結(jié)構(gòu)圖如圖2.3所示,計(jì)數(shù)器q輸出與發(fā)射端同步的0相數(shù)字載波; 將計(jì)數(shù)器輸出的0相載波與數(shù)字cpskj號(hào)中的載波進(jìn)行與運(yùn)算,當(dāng)兩比較信號(hào)在判 決時(shí)刻都為1時(shí),輸出為1,否則輸出為0,從而實(shí)現(xiàn)解調(diào)。在計(jì)數(shù)器q=0時(shí),根據(jù) 調(diào)制信號(hào)此時(shí)的電平高低,來(lái)進(jìn)行判決。2.3 2dpsk的調(diào)制與解調(diào)原理相對(duì)調(diào)相(相對(duì)移相),即dpsk也稱為差分調(diào)相,這種方式用載波相位的相對(duì)變 化來(lái)傳送數(shù)字信號(hào),即利用前后碼之間載波相位的變化表示數(shù)字基帶信號(hào)的。

15、所謂相 位變化又有向量差和相位差兩種定義方法。向量差是指前一碼元的終相位與本碼元初 相位比較,是否發(fā)生相位變化。而相位差是指前后兩碼元的初相位是否發(fā)生了變化。 對(duì)同一個(gè)基帶信號(hào),按向量差和相位差畫(huà)出的 dps破形是不同的。例如在相位差法中,在絕對(duì)碼出現(xiàn)“ 1”碼時(shí),dps的載波初相位即前后兩碼元的 初相位相對(duì)改變?nèi)?。出現(xiàn)“0”碼時(shí),dps的載波相位即前后兩碼元的初相位相對(duì)不 變。在向量差法中,在絕對(duì)碼出現(xiàn)“ 1”碼時(shí),dps的載波初相位相對(duì)前一碼元的終相 位改變?nèi)?。出現(xiàn)“ 0”碼時(shí),dps的載波初相位相對(duì)前一碼元的終相位連續(xù)不變。在 畫(huà)dps破形時(shí),第一個(gè)碼元波形的相位可任意假設(shè)。2.3.1

16、2dpsk 的調(diào)制1 .相對(duì)移相信號(hào)(dpsk的產(chǎn)生相對(duì)移相信號(hào)(dpsk是通過(guò)碼變換加cpskm制產(chǎn)生,其產(chǎn)生原理如下圖所示。這種方法是把原基帶信號(hào)經(jīng)過(guò)絕對(duì)碼一相對(duì)碼變換后,用相對(duì)碼進(jìn)行cps調(diào)制,其輸出便是dps借號(hào),即相對(duì)調(diào)相可以用絕對(duì)碼一相對(duì)碼變換加上絕對(duì)調(diào)相來(lái)實(shí)現(xiàn)基帶信號(hào)ak dpsk信號(hào)圖2.4 dpsk調(diào)制原理圖2 .絕對(duì)碼一相對(duì)碼變換關(guān)系若假設(shè)絕對(duì)調(diào)相按“1”碼同相,“0”碼冗相的規(guī)律調(diào)制;而相對(duì)調(diào)相按“ 1”碼相位變化(移相冗),“0”碼相位不變規(guī)律調(diào)制。按此規(guī)定,絕對(duì)碼記為 ak,相對(duì)碼記為bk,絕對(duì)碼一相對(duì)碼變換電路如下圖所示圖2.5絕對(duì)碼一相對(duì)碼變換電路圖絕對(duì)碼一相對(duì)碼

17、之間的關(guān)系為bk = ak二瓦-1根據(jù)上述關(guān)系,絕對(duì)碼與相對(duì)碼(差分碼)可以相互轉(zhuǎn)換。bk=akbj圖2.6圖(a) (b)分別為絕對(duì)碼變?yōu)橄鄬?duì)碼的電路及波形圖2.7圖(a), (b)分別為相對(duì)碼變?yōu)榻^對(duì)碼的電路及波形圖2.8產(chǎn)生dps信號(hào)電路框圖dps信號(hào)的產(chǎn)生,先需將絕對(duì)碼變換為相對(duì)碼,然后用相對(duì)碼對(duì)載波進(jìn)行絕對(duì)調(diào)相,即可得到相對(duì)碼調(diào)相(dpsk信號(hào)。所介紹的絕對(duì)調(diào)相器均可產(chǎn)生dps信號(hào),只需將絕對(duì)碼變?yōu)橄鄬?duì)碼即可圖2.8 2dpskh制框圖dpskh制器的結(jié)構(gòu)圖如圖2.8所示,cpsi制器、計(jì)數(shù)器、異或門和寄存器共同 完成絕對(duì)碼到相對(duì)碼的變換功能。2.3.2 2dpsk 的解調(diào)dps信號(hào)

18、的解調(diào)方法有兩種:(1)極性比較法(又稱同步解調(diào)或相干解調(diào))(2)相位比較法(是一種非相干解調(diào))。1、極性比較法在極性比較法電路中,輸入的dps信號(hào)經(jīng)帶通后加到乘法器,乘法器將輸入信號(hào) 與載波極性比較。經(jīng)極性比較法電路解調(diào),還原的是相對(duì)碼。要得到原基帶信號(hào),必 須經(jīng)相對(duì)碼一絕對(duì)碼變換器,由相對(duì)碼還原成絕對(duì)碼,得到原絕對(duì)碼基帶信號(hào)。dpskb調(diào)器由三部分組成,乘法器和載波提取電路實(shí)際上就是相干檢測(cè)器。后面 對(duì)相對(duì)碼(差分碼)一絕對(duì)碼的變換電路,即相對(duì)碼(差分碼)譯碼器,其余部分完 成低通判決任務(wù)。2、相位比較法udpskn(t)一t tb圖2.9 dpsk相位比較法解調(diào)器原理框圖dps相位比較法

19、解調(diào)器原理框圖及其相應(yīng)的波形圖如上圖示。其基本原理是將接收到的前后碼元所對(duì)應(yīng)的調(diào)相波進(jìn)行相位比較,它是以前一碼元的載波相位作為后一碼元的參考相位,所以稱為相位比較法或稱為差分檢測(cè)法。該電路與極性比較法不同之處在于乘法器中與信號(hào)相乘的不是載波,而是前一碼元的信號(hào),該信號(hào)相位隨機(jī)且有噪聲,它的性能低于極性比較法的性能。輸入的udps借號(hào)一路直接加到乘法器,另一路經(jīng)延遲線延遲一個(gè)碼元的時(shí)間 tb 后,加到乘法器作為相干載波。若不考慮噪聲影響,設(shè)前一碼元載波的相位為 巴,后 一碼元載波的相位為% ,則乘法器的輸出為1cos( ct1) *cos( ct 2) =-cos( 1 2) cos(2 ct1

20、2h經(jīng)低通濾波器濾除高頻項(xiàng),輸出為,、1,、1u0cos( 1 - 2) cos 二:22式中平=%一 ,是前后碼元對(duì)應(yīng)的載波相位差。由調(diào)相關(guān)系知中=0 發(fā)送“ 0”中=冗發(fā)送“1”則取樣判決器的判決規(guī)則為uo(t)0判為 “0”uo(t)0判為 “1”可直接解調(diào)出原絕對(duì)碼基帶信號(hào)。這里應(yīng)強(qiáng)調(diào)的是,相位比較法電路是將本碼元信號(hào)與前一碼元信號(hào)相位比較,它 適合于按相位差定義的dpskf號(hào)的解調(diào),對(duì)碼元寬度為非整數(shù)倍載頻周期的按向量差 定義的dpskf號(hào),該電路不適用。對(duì)cpsk言號(hào)解調(diào),該電路輸出端應(yīng)增加相對(duì)碼變?yōu)榻^對(duì)碼的變換電路。圖2.10相對(duì)碼絕對(duì)碼轉(zhuǎn)換方框圖dpsk單調(diào)器關(guān)鍵則是實(shí)現(xiàn)相對(duì)碼

21、到絕對(duì)碼的轉(zhuǎn)換, 其結(jié)構(gòu)圖如圖2.10所示,dpsk 解調(diào)采用cps解調(diào)器與相對(duì)碼到絕對(duì)碼轉(zhuǎn)換電路即可實(shí)現(xiàn)。其中,相對(duì)碼到絕對(duì)碼的 轉(zhuǎn)換是以在以計(jì)數(shù)器輸出信號(hào)為時(shí)鐘控制下完成的。2.4 2cpsk 和 2dpsk 的比較由以上分析可以看出,絕對(duì)移相波形規(guī)律比較簡(jiǎn)單,而相對(duì)調(diào)相波形規(guī)律較復(fù)雜。 絕對(duì)調(diào)相是用已調(diào)載波的不同相位來(lái)代表基帶信號(hào)的, 在解調(diào)時(shí),必須要先恢復(fù)載波, 然后把載波與cpskf號(hào)進(jìn)行比較,才能恢復(fù)基帶信號(hào)。由于接收端恢復(fù)載波常常要采 用二分頻電路,它存在相位模糊,即用二分頻電路恢復(fù)的載波有時(shí)與發(fā)送載波同相, 有時(shí)反相,而且還會(huì)出現(xiàn)隨機(jī)跳變,這樣就給絕對(duì)調(diào)相信號(hào)的解調(diào)帶來(lái)困難。而

22、相對(duì)調(diào)相,基帶信號(hào)是由相鄰兩碼元相位的變化來(lái)表示,它與載波相位無(wú)直接 關(guān)系,即使采用同步解調(diào),也不存在相位模糊問(wèn)題,因此在實(shí)際設(shè)備中,相對(duì)移相得 到了廣泛運(yùn)用。3.仿真結(jié)果記錄與分析3.1仿真環(huán)境介紹1 .簡(jiǎn)介quart usmi圖3.1 quartusii 圖標(biāo)quartus ii 是altera公司的綜合性pld/fpgaf發(fā)軟件,支持原理圖、 vhdl veriloghdl 以及 ahdl(altera hardware description language )等多種設(shè)計(jì)輸入形 式,內(nèi)嵌自有的綜合器以及仿真器,可以完成從設(shè)計(jì)輸入到硬件配置的完整pldj計(jì)流程。quartus ii可以

23、在xr linux以及unix上使用,除了可以使用tcl腳本完成設(shè)計(jì)流 程外,提供了完善的用戶圖形界面設(shè)計(jì)方式。 具有運(yùn)行速度快,界面統(tǒng)一,功能集中, 易學(xué)易用等特點(diǎn)。quartus ii支持altera的ip核,包含了 lpm/megafunction宏功能模塊庫(kù),使用戶 可以充分利用成熟的模塊,簡(jiǎn)化了設(shè)計(jì)的復(fù)雜性、加快了設(shè)計(jì)速度。對(duì)第三方edat具的良好支持也使用戶可以在設(shè)計(jì)流程的各個(gè)階段使用熟悉的第三方edae具。此外,quartus ii 通過(guò)和dsp builder工具與matlab/simulink 相結(jié)合,可以方 便地實(shí)現(xiàn)各種ds曲用系統(tǒng);支持altera的片上可編程系統(tǒng)(sop

24、c開(kāi)發(fā),集系統(tǒng)級(jí) 設(shè)計(jì)、嵌入式軟件開(kāi)發(fā)、可編程邏輯設(shè)計(jì)于一體,是一種綜合性的開(kāi)發(fā)平臺(tái)。altera quartus ii作為一種可編程邏輯的設(shè)計(jì)環(huán)境,由于其強(qiáng)大的設(shè)計(jì)能力和直觀易用的接口,越來(lái)越受到數(shù)字系統(tǒng)設(shè)計(jì)者的歡迎。2 .功能quartus ii提供了完全集成且與電路結(jié)構(gòu)無(wú)關(guān)的開(kāi)發(fā)包環(huán)境,具有數(shù)字邏輯設(shè)計(jì)的全部特性,包括:(1)可利用原理圖、結(jié)構(gòu)框圖、veriloghdl、ahdl口vhd丘成電路描述,并將其保存為設(shè)計(jì)實(shí)體文件;(2)芯片(電路)平面布局連線編輯;(3)功能強(qiáng)大的邏輯綜合工具;(4)完備的電路功能仿真與時(shí)序邏輯仿真工具;(5)定時(shí)/時(shí)序分析與關(guān)鍵路徑延時(shí)分析;3.2 仿真波

25、形結(jié)果分析3.2.1 2cpsk的調(diào)制與解調(diào)(1) 2cps蠲制器的仿真波形如下圖所示圖3.2 2cpsk調(diào)制器的仿真波形圖當(dāng)start信號(hào)為高電平時(shí),進(jìn)行2cpski制;載波信號(hào)fl、f2是通過(guò)輸入時(shí)鐘脈沖 clk分頻得到的,且滯后輸入時(shí)鐘一個(gè)時(shí)鐘周期;調(diào)制輸出信號(hào) y滯后載波一個(gè)時(shí)鐘周 期,滯后輸入時(shí)鐘兩個(gè)時(shí)鐘周期。計(jì)數(shù)器q對(duì)外部時(shí)鐘信號(hào)clk進(jìn)行分頻與計(jì)數(shù),并輸 出兩路相位相反的數(shù)字載波信號(hào)f1、f2; 2選1開(kāi)關(guān)在基帶信號(hào)x的控制下,對(duì)兩路載波信號(hào)進(jìn)行選擇,當(dāng)基帶信號(hào)x=1時(shí),輸出信號(hào)y=f1 ,當(dāng)基帶信號(hào)x=1時(shí),輸出彳s號(hào)y=f2 ,輸出信號(hào)y即為cps信號(hào)。(2) 2cps解調(diào)器

26、的仿真波形如下圖所示圖3.3 2cpskb調(diào)器的仿真波形圖當(dāng)start信號(hào)為高電平時(shí),進(jìn)行cps解調(diào);當(dāng)q=0時(shí),根據(jù)x的電平來(lái)進(jìn)行判決; 輸出信號(hào)y滯后輸入信號(hào)x一個(gè)時(shí)鐘周期。計(jì)數(shù)器q輸出與發(fā)射端同步的0相數(shù)字載 波;將計(jì)數(shù)器輸出的0相載波與數(shù)字cpsk1號(hào)中的載波進(jìn)行與運(yùn)算,當(dāng)兩比較信號(hào) 在判決時(shí)刻都為1時(shí),輸出為1,否則輸出為0,從而實(shí)現(xiàn)解調(diào)。在計(jì)數(shù)器q=0時(shí), 根據(jù)調(diào)制信號(hào)此時(shí)的電平高低,來(lái)進(jìn)行判決。3.2.2 2dpsk的調(diào)制與解調(diào)(1) 2dpsk制器絕對(duì)碼轉(zhuǎn)換為相對(duì)碼的仿真波形如下圖所示圖3.4 2dpsk調(diào)制器絕對(duì)碼轉(zhuǎn)換為相對(duì)碼的仿真波形圖當(dāng)start信號(hào)為高電平時(shí),進(jìn)行2dp

27、sks制中的絕對(duì)碼轉(zhuǎn)換為相對(duì)碼。當(dāng)q=0時(shí), 輸出信號(hào)y是輸入信號(hào)x與中間寄存信號(hào)xx異或,輸出信號(hào)y滯后于輸入信號(hào)x一個(gè)時(shí)鐘 周期。相對(duì)移相信號(hào)(dpsk是通過(guò)碼變換加cpskh制產(chǎn)生。這種方法是把原基帶 信號(hào)經(jīng)過(guò)絕對(duì)碼一相對(duì)碼變換后,絕對(duì)碼一相對(duì)碼變換采用基帶信號(hào)與延時(shí)后的輸出 信號(hào)異或而得。再用相對(duì)碼進(jìn)行cps調(diào)制,其/&出便是dpsk1號(hào)。(2) 2dps解調(diào)器相對(duì)碼轉(zhuǎn)換為絕對(duì)碼的仿真波形如下圖所示圖3.5 2dpskw調(diào)器相對(duì)碼轉(zhuǎn)換為絕對(duì)碼的仿真波形圖當(dāng)start信號(hào)為高電平時(shí),進(jìn)行2dps解調(diào)中的相對(duì)碼轉(zhuǎn)換為絕對(duì)碼。當(dāng)q=3時(shí), 輸出信號(hào)y是信號(hào)x與xx的異或;輸出信號(hào)y滯后于輸入

28、信號(hào)x一個(gè)基帶碼長(zhǎng)即4個(gè)輸入 時(shí)鐘周期。輸入信號(hào)x是前端2cps解調(diào)器的輸出信號(hào),經(jīng)過(guò)相對(duì)碼轉(zhuǎn)換為絕對(duì)碼后, 輸出信號(hào)y即為2dps解調(diào)出來(lái)的基帶信號(hào)。3.3 仿真生成的電路圖3.3.1 2cpsk調(diào)制與解調(diào)仿真生成的 rtl視圖及電路圖1 .仿真生成2cps蠲制器的rtib圖及電路符號(hào)圖圖3.6 2cpsk調(diào)制器的rtib圖將振蕩器產(chǎn)生的載波信號(hào)加到與門1,同時(shí)該振蕩信號(hào)經(jīng)倒相器加到與門2。計(jì)數(shù) 器q對(duì)外部時(shí)鐘信號(hào)clk進(jìn)行分頻與計(jì)數(shù),并輸出兩路相位相反的數(shù)字載波信號(hào)fl、f2基帶信號(hào)和它的倒相信號(hào)分別作為與門1及與門2的選通信號(hào)?;鶐盘?hào)為1碼時(shí),與 門1選通,卒&出為f1 ;基帶信號(hào)為“

29、 0”碼時(shí),與門2選通,卒&出為f2 ,即可得到cpsk 信號(hào)。 1-1 !- i h i- hi -i h i -ii- xll ilk 3 fr i ml- 中 4 / i * i j 2cpsk_modei2iv右i=instiii,-1* | i f i- fl 4 h | . *! !| i9 | - if i i i i圖3.7 2cpsk調(diào)制器的電路符號(hào)圖2cps調(diào)制器端口引腳為:clk是系統(tǒng)時(shí)鐘信號(hào),start為控制調(diào)制開(kāi)始的使能信 號(hào),x為輸入的基帶信號(hào),y為已調(diào)制的2cps輸出信號(hào)。1.仿真生成2cps解調(diào)器的rtib圖及電路圖圖3.8 2cpskb調(diào)器的rtib圖計(jì)數(shù)器輸

30、出與發(fā)射端同步的0相數(shù)字載波;將計(jì)數(shù)器輸出的0相載波與數(shù)字cps信 號(hào)中的載波進(jìn)行與運(yùn)算,當(dāng)兩比較信號(hào)在判決時(shí)刻都為 1時(shí),輸出為1,否則輸出為0, 從而實(shí)現(xiàn)解調(diào)。i i !i i -i i hi! -i b i i i ;2cpsk_demodezx z,elkstart y jkxj|inst圖3.9 2cpskb調(diào)器的電路符號(hào)圖2cps解調(diào)器端口引腳為:clk是系統(tǒng)時(shí)鐘信號(hào),start為控制調(diào)制開(kāi)始的使能信 號(hào),x為輸入的調(diào)制信號(hào),y為已解調(diào)的2cps輸出基帶信號(hào)。3.3.3 2dpsk調(diào)制與解調(diào)仿真生成的 rtl視圖及電路圖1 .仿真生成2dps蠲制器絕對(duì)碼轉(zhuǎn)換為相對(duì)碼的rt覘圖及電路

31、圖圖3.10 2dpskm制器絕對(duì)碼轉(zhuǎn)換為相對(duì)碼的rtib圖相對(duì)移相信號(hào)(dpsk是通過(guò)碼變換加cps調(diào)制產(chǎn)生。這種方法是把原基帶信號(hào) 經(jīng)過(guò)絕對(duì)碼一相對(duì)碼變換后,絕對(duì)碼一相對(duì)碼變換采用基帶信號(hào)與延時(shí)后的輸出信號(hào)異或而得。再用相對(duì)碼進(jìn)行cps調(diào)制,其/&出便是dps借號(hào)2dpskmode圖3.11 2dpskm制器絕對(duì)碼轉(zhuǎn)換為相對(duì)碼的電路符號(hào)圖2dps調(diào)制器端口引腳為:clk是系統(tǒng)時(shí)鐘信號(hào),start為控制調(diào)制開(kāi)始的使能信 號(hào),x為輸入的基帶信號(hào),y為已調(diào)制的2dps輸出信號(hào)。2 .仿真生成2dps解調(diào)器相對(duì)碼轉(zhuǎn)換為絕對(duì)碼的rtib圖及電路圖圖3.12 2dpsk#調(diào)器相對(duì)碼轉(zhuǎn)換為絕對(duì)碼的rti

32、b圖2dpsk單調(diào)器關(guān)鍵則是實(shí)現(xiàn)相對(duì)碼到絕對(duì)碼的轉(zhuǎn)換,其結(jié)構(gòu)圖如上圖所示,2dpsk 解調(diào)采用2cps解調(diào)器與相對(duì)碼到絕對(duì)碼轉(zhuǎn)換電路即可實(shí)現(xiàn)。其中,相對(duì)碼到絕對(duì)碼 的轉(zhuǎn)換是以在以計(jì)數(shù)器輸出信號(hào)為時(shí)鐘控制下完成的。:2dpsk_demodex朱_ elk-start y 巨 ,-x;insti圖3.13 2dpsk#調(diào)器相對(duì)碼轉(zhuǎn)換為絕對(duì)碼的電路符號(hào)圖2dps解調(diào)器端口引腳為:clk是系統(tǒng)時(shí)鐘信號(hào),start為控制調(diào)制開(kāi)始的使能信 號(hào),x為輸入的調(diào)制信號(hào),y為已解調(diào)的2dps輸出基帶信號(hào)。4.設(shè)計(jì)及實(shí)現(xiàn)過(guò)程中遇到的問(wèn)題1,在正式開(kāi)始編寫(xiě)程序的過(guò)程中,我遇到了很多困難,先是無(wú)法觀測(cè)到中間 變量fl、

33、f2、q的時(shí)序仿真,經(jīng)過(guò)上網(wǎng)百度和與同學(xué)討論,發(fā)現(xiàn)選擇view菜單中的utilitywindow頸的 node finder, filter 項(xiàng)選中 pins:all®isters:post-fitting ,就可以調(diào)出程序中間變量的時(shí)序仿真。2、在仿真的過(guò)程中,又一次發(fā)現(xiàn)問(wèn)題,時(shí)序仿真波形的時(shí)間太短,經(jīng)過(guò)上網(wǎng)百 度和與同學(xué)討論 ,知道了點(diǎn)擊工具欄中的 tools選擇options。里面有個(gè)選項(xiàng)是 waveform editor,是關(guān)于波形設(shè)置的,其中有個(gè) default file end time ,可以編輯 時(shí)序波形圖的時(shí)長(zhǎng)和單位時(shí)長(zhǎng)。3、在仿真的過(guò)程中,又一次發(fā)現(xiàn)問(wèn)題,時(shí)序仿真的波

34、形結(jié)果與預(yù)想中的差別很大,于是我檢查程序,修改變量值,反復(fù)調(diào)試,發(fā)現(xiàn)是輸入變量x設(shè)置得不合理,經(jīng)過(guò)調(diào)整后,最終實(shí)現(xiàn)預(yù)期的結(jié)果。5.心得體會(huì)拿到這個(gè)課程設(shè)計(jì)題目后,我開(kāi)始到網(wǎng)上搜索相關(guān)內(nèi)容,順便到學(xué)校圖書(shū)館借相 關(guān)書(shū)籍,經(jīng)過(guò)不斷比較與討論,對(duì)本次課程設(shè)計(jì)的要求和實(shí)現(xiàn)方法有了一個(gè)大致的輪 廓。本次fpg勰程設(shè)計(jì)主要任務(wù)是完成二進(jìn)制相位鍵控(psk調(diào)制器與解調(diào)器設(shè)計(jì), 對(duì)我來(lái)說(shuō)這個(gè)題目還是很有挑戰(zhàn)性的, 因?yàn)樽约簩?duì)fpg有關(guān)數(shù)字調(diào)制器與解調(diào)器設(shè) 計(jì)的設(shè)計(jì)知識(shí)了解較少,但正是這種有挑戰(zhàn)性的題目才能提高自己的能力,才有研究 價(jià)值,入手這個(gè)題目后我查閱了相關(guān)的資料,也從網(wǎng)上獲得了不少有關(guān)fpg股計(jì)濾波器的

35、資料,加上自己之間對(duì)fpgat一定的了解,因而設(shè)計(jì)思路漸漸明朗,經(jīng)過(guò)自己的 不斷嘗試和探索,終于弄明白了二進(jìn)制相位鍵控( psk調(diào)制器與解調(diào)器設(shè)計(jì)的工作 原理,通過(guò)查閱相關(guān)資料和研究fpg提供的幫助信息,我也明白了二進(jìn)制相位鍵控(psk調(diào)制器與解調(diào)器設(shè)計(jì)相關(guān)的一些函數(shù)的使用方法,并用它們來(lái)設(shè)計(jì)二進(jìn)制相 位鍵控(psk調(diào)制器與解調(diào)器設(shè)計(jì),最終完成了題目。雖然本次設(shè)計(jì)出的二進(jìn)制相 位鍵控(psk調(diào)制器與解調(diào)器設(shè)計(jì)并不夠完美,但我確實(shí)有一種成就感。通過(guò)這次課程設(shè)計(jì),我加強(qiáng)了自己掌握和理解書(shū)本知識(shí)的能力,培養(yǎng)了自己的實(shí)際動(dòng)手能力與綜合設(shè)計(jì)能力,并提高了自己的技術(shù)素質(zhì)。基本達(dá)到了fpg勰程設(shè)計(jì)的任務(wù),明

36、確了 fpgaj基本知識(shí)與應(yīng)用;在對(duì)對(duì)二進(jìn)制相位鍵控( psk調(diào)制器與解調(diào) 器的理論分析的過(guò)程中,我對(duì)通信原理的相關(guān)知識(shí)進(jìn)行了復(fù)習(xí),更深一個(gè)層次的掌握 了通信原理的相關(guān)概念和使用技巧;同時(shí)掌握了 fpgaot設(shè)計(jì)的基本方法,學(xué)會(huì)了運(yùn) 用仿真軟件,繪制相應(yīng)的仿真波形圖,對(duì)計(jì)算出的參數(shù)進(jìn)行驗(yàn)證,反復(fù)推導(dǎo),使參數(shù) 更加符合要求。在這次的課程設(shè)計(jì)中,遇到問(wèn)題,最好的辦法就是問(wèn)別人,因?yàn)槊總€(gè)人掌握情況 不一樣,不可能做到處處都懂,發(fā)揮群眾的力量,復(fù)雜的事情就會(huì)變得很簡(jiǎn)單。這一 深我深有體會(huì),在很多時(shí)候,我遇到的困難或許別人之前就已遇到,向他們請(qǐng)教遠(yuǎn)比 自己在那冥思苦想來(lái)得快。學(xué)習(xí)的這段日子確實(shí)令我收益匪

37、淺,不僅是鞏固了先前學(xué) 的通的理論知識(shí),而且也培養(yǎng)了我的動(dòng)手能力,更令我的創(chuàng)造性思維得到拓展。希望 今后類似這樣課程設(shè)計(jì)、類似這樣的鍛煉機(jī)會(huì)能更多些 !在此次課程設(shè)計(jì)中我們得到老師的指點(diǎn),班上同學(xué)和各位學(xué)長(zhǎng)的幫助,我才得以 圓滿地完成此次設(shè)計(jì),在此對(duì)給予支持與關(guān)懷的人士表示衷心的感謝!參考文獻(xiàn)1王福昌等.通信原理m.清華大學(xué)出版社:2006, 3.2田維新等.基于fpga的psk解調(diào)器的設(shè)計(jì)與實(shí)現(xiàn)j.微計(jì)算機(jī)信息,2010,6-5 : 43-473黃智偉,fpga系統(tǒng)設(shè)計(jì)與實(shí)踐m.北京:電子工業(yè)出版社,20054董在望,通信電路原理m.北京:高等教育出版社,20025侯伯亨顧新.vhdl硬件描

38、述語(yǔ)言與數(shù)字邏輯電路設(shè)計(jì).m.西安.西安電子 科技大學(xué)出版社,19996孫學(xué)軍、王秉鈞.通信原理m.電子工業(yè)出版社:2001, 2.苗長(zhǎng)云等.現(xiàn)代通信原理及應(yīng)用m.電子工業(yè)出版社:2005, 1.8樊昌信等.通信原理m.國(guó)防工業(yè)出版社:2001, 5.附錄附錄1 2cpsk調(diào)制器的程序代碼library ieee;use ieee.std_logic_arith.all;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity pl_cpsk isport(clkstart:in std_logic;:in std_l

39、ogic;:in std_logic;:out std_logic);-系統(tǒng)時(shí)鐘-開(kāi)始調(diào)制信號(hào)-基帶信號(hào)-已調(diào)制輸出信號(hào)end pl_cpsk;architecture behav of pl cpsk issignal q:std_logic_vector(1 downto 0);signal f1,f2:std_logic;beginprocess(clk)beginif clkevent and clk=1 then-2位計(jì)數(shù)器-載波信號(hào)-此進(jìn)程主要是產(chǎn)生兩重載波信號(hào) f1, f2if start=0 then q=00;elsif q=01 then f1=1;f2=0;q=q+1;e

40、lsif q=11 then f1=0;f2=1;q=00;else f1=0;f2=1;q=q+1;end if;end if;end process;process(clk,x)beginif clkevent and clk=1 thenif q(0)=1 thenif x=1 then y=f1;else y=f2;end if;end if;end if;end process;end behav;-此進(jìn)程完成對(duì)基帶信號(hào)x的調(diào)制-上升沿觸發(fā)-基帶信號(hào)-基帶信號(hào)為用,輸出信號(hào)y為f1為0寸,輸出信號(hào)y為f2附錄2 2cpsk解調(diào)器的程序代碼library ieee;use ieee.s

41、td_logic_arith.all;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity pl_cpsk2 isport(clk:in std_logic;一系統(tǒng)時(shí)鐘start:in std_logic;一同步信號(hào)x:in std_logic;一調(diào)制信號(hào)y:out std_logic);一基帶信號(hào)end pl_cpsk2; architecture behav of pl_cpsk2 is signal q:integer range 0 to 3; beginprocess(clk) begin-此進(jìn)程完成對(duì)cpsk調(diào)制信號(hào)的解調(diào)-在q=0時(shí),根據(jù)輸入信號(hào) x的電平來(lái)進(jìn)行判決if clkevent and clk=1 then if start=0 then q=0; elsif q=0

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論