




版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)
文檔簡介
1、課程單元教學(xué)設(shè)計(jì)EDA技術(shù),1,EDA應(yīng)用技術(shù),課 程 單 元 教 學(xué) 設(shè) 計(jì),課程單元教學(xué)設(shè)計(jì)EDA技術(shù),2,【項(xiàng)目名稱】:LED數(shù)碼管顯示控制電路設(shè)計(jì) 【教學(xué)目標(biāo)】: 能力目標(biāo): (1)能將已知程序通過下載器下載到EDA實(shí)驗(yàn)箱的EP1K30TC144-1芯片中,通過顯示結(jié)果驗(yàn)證程序。 (2)能讀懂?dāng)?shù)碼管顯示程序。 (3)能根據(jù)題目的需要對數(shù)碼管顯示程序進(jìn)行修改。 (4)掌握編程調(diào)試控制能力。,lED數(shù)碼管顯示控制電路設(shè)計(jì)-EDA,課程單元教學(xué)設(shè)計(jì)EDA技術(shù),3,(5)培養(yǎng)學(xué)生分析問題和解決問題的能力 (6)培養(yǎng)學(xué)生團(tuán)隊(duì)協(xié)作能力、表達(dá)能力 (7)培養(yǎng)學(xué)生查閱資料和自學(xué)能力,提高他們的可持續(xù)
2、發(fā)展的能力。 知識目標(biāo): (1)理解LED的結(jié)構(gòu)及原理 (2)掌握七段LED顯示器的字形編碼 (3)掌握數(shù)碼管靜態(tài)顯示和動態(tài)顯示接口的原理,課程單元教學(xué)設(shè)計(jì)EDA技術(shù),4,【教學(xué)重點(diǎn)】:數(shù)碼管顯示程序的演示及分析 【教學(xué)難點(diǎn)】:根據(jù)題目的需要修改數(shù)碼管顯示程序,EDA實(shí)驗(yàn)箱、數(shù)據(jù)線、導(dǎo)線、仿真器、電腦、maxplus ii或quartus ii軟件,訓(xùn)練準(zhǔn)備,上課課件、程序、評價表,【教學(xué)素材】:,課程單元教學(xué)設(shè)計(jì)EDA技術(shù),5,通過演示實(shí)驗(yàn) 引出項(xiàng)目,【教學(xué)過程實(shí)施】:,教學(xué)過程的實(shí)施,課程單元教學(xué)設(shè)計(jì)EDA技術(shù),6,根據(jù)實(shí)驗(yàn)演示,通過展示和講解告知本次課的項(xiàng)目內(nèi)容,教學(xué)目標(biāo),實(shí)施方案,教學(xué)
3、過程的實(shí)施,課程單元教學(xué)設(shè)計(jì)EDA技術(shù),7,【項(xiàng)目內(nèi)容】,用VHDL語言控制8個數(shù)碼管的顯示,數(shù)碼管初始值顯示9,每隔1秒數(shù)碼管的值減1,直到減到0,停止顯示。,能力目標(biāo): 1.能將已知程序通過仿真器下載到EDA試驗(yàn)箱EP1K30TC144-1芯片中,通過顯示結(jié)果驗(yàn)證程序。,【教學(xué)目標(biāo)】,課件內(nèi)容,教學(xué)過程的實(shí)施,課程單元教學(xué)設(shè)計(jì)EDA技術(shù),8,2.能讀懂?dāng)?shù)碼管顯示程序 3.能根據(jù)題目的需要對數(shù)碼管顯示程序就行修改。 4.掌握VHDL語言編程調(diào)試控制能力 5.培養(yǎng)學(xué)生分析問題和解決問題的能力 6.培養(yǎng)學(xué)生團(tuán)隊(duì)協(xié)作能力、表達(dá)能力 7.培養(yǎng)學(xué)生查閱資料和自學(xué)能力,提高他們的可持續(xù)發(fā)展的能力。,課件
4、內(nèi)容,教學(xué)過程的實(shí)施,課程單元教學(xué)設(shè)計(jì)EDA技術(shù),9,知識目標(biāo):,本項(xiàng)目通過分組的形式進(jìn)行,總共分成8組,考核方式由組員評價和教師評價兩部分組成。具體事項(xiàng)見評價表,【實(shí)施方案】,1.理解LED的結(jié)構(gòu)及原理 2.掌握七段LED顯示器的字形編碼 3.掌握數(shù)碼管靜態(tài)顯示和動態(tài)顯示接口的原理,教學(xué)過程的實(shí)施,課程單元教學(xué)設(shè)計(jì)EDA技術(shù),10,讓學(xué)生根據(jù)已知的程序自己動手做這個實(shí)驗(yàn),通過EDA實(shí)驗(yàn)箱的數(shù)碼管將結(jié)果顯示出來。,教學(xué)過程的實(shí)施,【項(xiàng)目操作】,課程單元教學(xué)設(shè)計(jì)EDA技術(shù),11,一、LED結(jié)構(gòu)及原理,數(shù)碼管的組成:LED數(shù)碼管是由發(fā)光二極管構(gòu)成的,常用的有8段。,數(shù)碼管的分類:有共陰和共陽極兩種
5、。多個LED的陰極連在一起的為共陰極數(shù)碼管,陽極連在一起的為共陽極數(shù)碼管。 共陰極數(shù)碼管的公共端接地,陽極(a到h)接高電平,數(shù)碼管點(diǎn)亮。 共陽極數(shù)碼管的公共端接電源,陰極(a到h)接低,數(shù)碼管點(diǎn)亮。,課程單元教學(xué)設(shè)計(jì)EDA技術(shù),12,數(shù)碼管的段碼和位碼,數(shù)碼管的位碼就是提供給公共端的電平。位碼的作用是控制數(shù)碼管的亮滅。 數(shù)碼管的段碼就是提供給a,b,c,d,e,f,g,h的電平。段碼的作用是控制數(shù)碼管顯示什么字符。 單個數(shù)碼管需要9個端口來控制。,課程單元教學(xué)設(shè)計(jì)EDA技術(shù),13,舉例:位碼和段碼,例1:顯示字符“1”。(共陰極數(shù)碼管) 位碼應(yīng)該接低電平。 段碼按照hgfedcba的順序,
6、應(yīng)該為“00000110”。,例2:顯示字符“A”。(共陽極數(shù)碼管) 位碼應(yīng)該接高電平。 段碼按照hgfedcba的順序, 應(yīng)該為“10001000”。,課程單元教學(xué)設(shè)計(jì)EDA技術(shù),14,二、LED字形編碼,課程單元教學(xué)設(shè)計(jì)EDA技術(shù),15,三、LED數(shù)碼管顯示控制電路,靜態(tài)顯示方式 動態(tài)顯示方式,課程單元教學(xué)設(shè)計(jì)EDA技術(shù),16,(1)LED靜態(tài)顯示控制電路,靜態(tài)顯示方式將每一個數(shù)碼管的段碼端ah連接到CPLD/FPGA的IO端口上,公共端接地(對于共陰極LED)。每個數(shù)碼管需要8個IO口線,N個數(shù)碼管共需要N8條IO口線。 當(dāng)CPLD/FPGA有相當(dāng)多的IO端口資源,并且顯示的位數(shù)較少時(
7、通常為12位),可以直接使用靜態(tài)顯示的方式。,課程單元教學(xué)設(shè)計(jì)EDA技術(shù),17,數(shù)碼管靜態(tài)顯示方式的優(yōu)點(diǎn)是連線簡單,軟件編程簡單。 缺點(diǎn)是需要耗費(fèi)大量的IO端口資源。,8N,課程單元教學(xué)設(shè)計(jì)EDA技術(shù),18,CPLD/FPGA驅(qū)動LED靜態(tài)顯示譯碼程序,Library ieee; Use ieee.std_logic_1164.all; Use ieee.std_logic_unsigned.all; Use ieee.std_logic_arith.all; Entity display is -共陰極數(shù)碼管段碼譯碼 port( data: IN std_logic_vector(3 dow
8、nto 0); seg: OUT std_logic_vector(6 downto 0) -gfedcba ); End display;,課程單元教學(xué)設(shè)計(jì)EDA技術(shù),19,architecture a of display is begin process(data) begin case data is -gfedcba when 0000 = seg seg seg seg seg seg seg seg seg seg seg seg seg seg seg seg seg = 0000000; -全滅 end case; end process; end a;,課程單元教學(xué)設(shè)計(jì)ED
9、A技術(shù),20,仿真結(jié)果,將要顯示的數(shù)據(jù)譯成段碼在數(shù)碼管上顯示出來。,課程單元教學(xué)設(shè)計(jì)EDA技術(shù),21,靜態(tài)顯示應(yīng)用舉例:,設(shè)計(jì)一個4路獨(dú)立鍵盤輸入電路,讀取鍵盤的鍵值,并將鍵值在一位靜態(tài)共陰極LED數(shù)碼管中顯示出來。 輸入為時鐘信號CLK(50MHz),按鍵狀態(tài)KEY1,KEY2,KEY3,KEY4。 輸出為LED數(shù)碼管的段碼SEG6.0。,課程單元教學(xué)設(shè)計(jì)EDA技術(shù),22,電路模塊,輸入信號: clk:時鐘,50MHz; reset:復(fù)位信號; key1, key2, key3, key4:按鍵信號輸入; 輸出信號: SEG6.0:靜態(tài)LED數(shù)碼管的段碼信號。,課程單元教學(xué)設(shè)計(jì)EDA技術(shù),2
10、3,分頻模塊,去抖動模塊,鍵盤掃描譯碼模塊,50MHz,課程單元教學(xué)設(shè)計(jì)EDA技術(shù),24,鍵盤掃描譯碼模塊程序(1),課程單元教學(xué)設(shè)計(jì)EDA技術(shù),25,-輸出1的段碼,-輸出2的段碼,-輸出3的段碼,-輸出4的段碼,-數(shù)碼管燈滅,課程單元教學(xué)設(shè)計(jì)EDA技術(shù),26,鍵盤掃描譯碼模塊程序(2),課程單元教學(xué)設(shè)計(jì)EDA技術(shù),27,(2)LED動態(tài)顯示控制電路,在顯示的數(shù)據(jù)較多時,會用到多個數(shù)碼管,如果用靜態(tài)顯示方式會占用很多IO(8N),這時可以采用動態(tài)掃描方式來實(shí)現(xiàn)。 動態(tài)掃描方式的硬件連接是: 將每個數(shù)碼管的段碼引腳并聯(lián)接到CPLD/FPGA的IO端口上,每個數(shù)碼管的公共端是獨(dú)立的,通過控制公共
11、端來控制相應(yīng)數(shù)碼管的亮、滅。,課程單元教學(xué)設(shè)計(jì)EDA技術(shù),28,N個LED數(shù)碼管以動態(tài)方式顯示時,需要8+N個IO口線。其中8個IO口線用作輸出段碼,N個IO口線輸出位碼。,段碼共用,位碼獨(dú)立,課程單元教學(xué)設(shè)計(jì)EDA技術(shù),29,實(shí)現(xiàn)方法是依次點(diǎn)亮各個LED數(shù)碼管,輪流向各個數(shù)碼管送出段碼和位碼,循環(huán)進(jìn)行顯示。一個數(shù)碼管顯示之后下一個數(shù)碼管馬上顯示,利用人眼的視覺暫留特性,得到多個數(shù)碼管同時顯示的效果。 采用數(shù)碼管的動態(tài)顯示方式,數(shù)碼管的掃描頻率的快慢控制相當(dāng)重要。掃描頻率太慢,會產(chǎn)生數(shù)碼管逐個顯示的效果。掃描頻率太快也不好,會造成數(shù)碼管的亮度不夠,因?yàn)閿?shù)碼管需要一定的時間才能達(dá)到一定的亮度。通
12、常掃描頻率為1KHz(即數(shù)碼管顯示1ms)可以達(dá)到滿意的效果。,課程單元教學(xué)設(shè)計(jì)EDA技術(shù),30,動態(tài)顯示電路框圖,SCAN7.0,SEG6.0,課程單元教學(xué)設(shè)計(jì)EDA技術(shù),31,如上圖所示,為LED動態(tài)顯示頂層原理圖。由fdiv分頻模塊,cnt計(jì)數(shù)模塊和dispdec顯示譯碼模塊三個模塊組成。 輸入為: sysclk:50MHz系統(tǒng)時鐘。 d0d7:8個顯示數(shù)據(jù),分別顯示在8個數(shù)碼管上。 輸出為: SEG【6.0】:7位段碼輸出。 SCAN【7.0】:8位位碼輸出,每一位分別控制一個數(shù)碼管的點(diǎn)亮。,50MHz,1KHz,課程單元教學(xué)設(shè)計(jì)EDA技術(shù),32,CPLD/FPGA驅(qū)動LED動態(tài)顯示程
13、序,顯示譯碼模塊dispdec Library ieee; Use ieee.std_logic_1164.all; Use ieee.std_logic_unsigned.all; Use ieee.std_logic_arith.all; entity dispdec is port( qcnt: IN integer range 0 to 7; -計(jì)數(shù)值輸入 d0, d1, d2, d3, d4, d5, d6, d7: IN std_logic_vector(3 downto 0); -顯示數(shù)據(jù) seg: OUT std_logic_vector(6 downto 0); -7位段碼輸
14、出 scan: OUT std_logic_vector(7 downto 0) -8位位碼輸出 ); end dispdec;,課程單元教學(xué)設(shè)計(jì)EDA技術(shù),33,architecture a of dispdec is signal data: std_logic_vector(3 downto 0); begin process(qcnt, d0, d1, d2, d3, d4, d5, d6, d7) begin case qcnt is -根據(jù)計(jì)數(shù)值,輸出相應(yīng)的位碼,并設(shè)置要顯示的數(shù)據(jù) when 0 = scan scan scan scan scan scan scan scan s
15、can = 11111111; data = d0; end case; end process;,課程單元教學(xué)設(shè)計(jì)EDA技術(shù),34,process(data) -對顯示數(shù)據(jù)譯碼 begin case data is when 0000 = seg seg seg seg seg seg seg seg seg seg seg seg seg seg seg seg seg = 0000000; end case; end process; end a;,課程單元教學(xué)設(shè)計(jì)EDA技術(shù),35,仿真結(jié)果,以1KHz頻率動態(tài)掃描顯示,依次輸出8個數(shù)碼管的段碼和位碼。,1,2,3,4,5,6,課程單元教
16、學(xué)設(shè)計(jì)EDA技術(shù),36,LED動態(tài)顯示分位譯碼電路,設(shè)計(jì)要求: 采用動態(tài)顯示方式,將一個兩位數(shù)用兩個數(shù)碼管顯示出來。一個數(shù)碼管顯示個位,另一個顯示十位。,課程單元教學(xué)設(shè)計(jì)EDA技術(shù),37,頂層原理圖,如上圖所示,為LED分位譯碼顯示頂層原理圖。由fdiv分頻模塊,cnt計(jì)數(shù)模塊,fenwei分位模塊和dispdec顯示譯碼模塊四個模塊組成。 輸入為: sysclk:50MHz系統(tǒng)時鐘。 num6.0:一個要顯示的兩位數(shù),099。 輸出為: SEG【6.0】:7位段碼輸出。 SCAN【7.0】:8位位碼輸出,每一位分別控制一個數(shù)碼管的點(diǎn)亮。,50MHz,1KHz,分位模塊,課程單元教學(xué)設(shè)計(jì)EDA
17、技術(shù),38,LED動態(tài)顯示分位譯碼電路程序,1. fenwei分位模塊 Library ieee; Use ieee.std_logic_1164.all; Use ieee.std_logic_unsigned.all; Use ieee.std_logic_arith.all; entity fenwei is port( num: IN integer range 0 to 99; numshi: OUT integer range 0 to 9; numge: OUT integer range 0 to 9 ); end fenwei; architecture a of fenwe
18、i is begin numge = num MOD 10; numshi = num/10; end a;,課程單元教學(xué)設(shè)計(jì)EDA技術(shù),39,2. 顯示譯碼模塊dispdec Library ieee; Use ieee.std_logic_1164.all; Use ieee.std_logic_unsigned.all; Use ieee.std_logic_arith.all; entity dispdec is port( qcnt: IN integer range 0 to 1; -計(jì)數(shù)值輸入 d0, d1: IN integer range 0 to 9; -分位得到的十位數(shù)和個位數(shù) seg: OUT std_logic_vector(6 downto 0); -7位段碼輸出 scan: OUT std_logic_vector(7 downto 0) -8為位碼輸出 ); end dispdec; architecture a of dispdec is signal data: integer range 0 to 9; begin process(qcnt, d0, d1)
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 2025年中國再生膠粉生產(chǎn)線市場調(diào)查研究報告
- 影視劇在對外漢語高級視聽說課中的開發(fā)應(yīng)用
- 微球載體材料相關(guān)行業(yè)投資規(guī)劃報告范本
- 地球站用的中、大型天線相關(guān)項(xiàng)目投資計(jì)劃書范本
- 道孚民居的建筑文化研究
- 《工程CAD》課件-AutoCAD 建筑圖形的繪制
- 商務(wù)禮儀與餐桌文化
- 氣候變化與環(huán)保教育
- 智能物流系統(tǒng)如何提高貨物配送效率
- 設(shè)備機(jī)修述職報告
- 中國河流湖泊
- PID控制原理內(nèi)容知識
- 建設(shè)工程廉政風(fēng)險防控手冊
- 軍事地形學(xué)知識總結(jié)
- 云南省普通初中學(xué)生成長記錄-基本素質(zhì)發(fā)展初一-初三
- GB 6944-2012 危險貨物分類和品名編號(高清版)
- IT行業(yè)薪酬管理制度示例某軟件公司薪酬體系設(shè)計(jì)方案
- 香港正版全年綜合資料
- 外貿(mào)報關(guān)用發(fā)票、裝箱單、合同、報關(guān)單模板
- 確認(rèn)民族成分申請書
- 工作區(qū)子系統(tǒng)設(shè)計(jì)案例一
評論
0/150
提交評論