下載本文檔
版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)
文檔簡介
1、靜態(tài)時序分析(Static Timing Analysis)技術(shù)是一種窮盡分析方法,用以衡量電路 性能。建立和保持時間的檢查(setup and hold checks)時鐘脈沖寬度的檢查門控時鐘檢查(clock-gating checks)recovery and removal checksunclocked registers未約束的時序端點(unconstrained timing endpoints)multiple clocked registers組合反饋回路(combinational feedback loops)基于設(shè)計規(guī)則的檢查,包括對最大電容、最大傳輸時間、最大扇出的檢
2、查等。它提取整個電路的所有時序路徑,通過計算信號沿在路徑上的延遲找出違背 時序約束的錯誤,主要是檢查建立時間和保持時間是否滿足要求,而它們又 分別通過對最大路徑延遲和最小路徑延遲的分析得到。靜態(tài)時序分析的方法不依賴于激勵,且可以窮盡所有路徑,運行速度很快, 占用內(nèi)存很少。它完全克服了動態(tài)時序驗證的缺陷,適合進(jìn)行超大規(guī)模的片上系統(tǒng)電路的驗 證,可以節(jié)省多達(dá)20%的設(shè)計時間。PT是Synopsys的sign-off quality的STA工具,是一個單點的全芯片、門級靜態(tài)時 序分析器。PrimeTime工作在設(shè)計的門級層次,并且和Synopsys其它工具整合得很緊密?;咎攸c和功能:設(shè)置設(shè)計環(huán)境設(shè)
3、置查找路徑和鏈接路徑 The search_path variable specifies a list of directory paths thatPrimeTime uses to find the designs, libraries, and other files. The link_path variable specifies a list of libraries that PrimeTime uses to link designs讀入設(shè)計(和庫文件)鏈接頂層設(shè)計對必要的操作條件進(jìn)行設(shè)置,這里包括了線上負(fù)載的模型、端口負(fù)載、驅(qū)動、 以及轉(zhuǎn)換時間等指定時序約束(timing
4、constraints)定義時鐘周期、波形、不確定度(uncertainty)、延時(latency)指明輸入輸出端口的延時等設(shè)置時序例外 外 (timing exceptions)設(shè)置多周期路徑(multicycle paths) 設(shè)置虛假路徑(false paths)定義最大最小延時(max I min delay) 無效的 arcs(disable timing)進(jìn)行時序分析:在作好以上準(zhǔn)備工作的基礎(chǔ)上,可以對電路進(jìn)行靜態(tài)時序分析,生成constraint reports 和 path timing reports oPrimeTime提供兩種用戶界面,圖形用戶界面GUI (Graphi
5、cal User Interface)和基 于Tcl的命令行界面pt_shell,其運行方式分別是:%PrimeTime如 %pt_shell、1設(shè)計輸入PT不能讀取RTL源文件,它是靜態(tài)分析引擎,只能讀取映射后的設(shè)計,包 括db、verilog、vhdl等格式的文件。貧 pt_shellread_db-netlist_only,db由于db格式的網(wǎng)表包含約束和環(huán)境屬性等,故使用-netlisLonly選 項指示PT只加載結(jié)構(gòu)化網(wǎng)表。貧 pt_shellread_verilog.sv、2時鐘規(guī)范創(chuàng)建時鐘翁 create_clock-period period_value-name clock_
6、name-waveform edge_listsource_objectssource_objects Specifies the objects used as sources of the clock. The sources can be ports, pins or nets in the design. If you do not use this option, you must use the -name option, which creates a virtual clock not associated with a port, pin or net. When a net
7、 is used as the source, the first driver pin of the net is the actual source used in creating the clock.您* pt_shellcreate_clock -period 4 -waveform list 0 2 -name clk get_portsclk每2時鐘規(guī)范晦時鐘延遲set_clock_latency-rise-fall-min-max-sourcedelayobject_listpt_shellset_clock_latency 1.2 -rise get_clocks CLK1實
8、2時鐘規(guī)范時鐘轉(zhuǎn)換蟲卻 set_clock_transition -rise-fall -min -max transition clock_list pt_shellset_clock_transition 0.38 -rise get_clocks CLK1晦傳播時鐘set_propagated_clockobject_list蟲卻 pt_shellset_propagated_clock all_clocks、2時鐘規(guī)范指定時鐘歪斜:在同步設(shè)計中,數(shù)據(jù)在一個時鐘邊沿由FF發(fā)送,在下一個 時鐘沿由另一個FF接收,理想情況下兩個邊沿間應(yīng)有準(zhǔn)確的一個時鐘周期 的延時,然而由于連線延遲的差異,接
9、收時鐘沿可早、可晚。為保證設(shè)計的 robust,須指定時鐘歪斜。您* set_clock_uncertainty-from from_clock | -to to_clock-rise -fall -setup -holduncertainty獨 pt_shellset_clock_uncertainty -setup 0.65 get_clocks CLK您丹 pt_shellset_clock_uncertainty -hold 0.45 get_clocks CLK、2時鐘規(guī)范指定生成的時鐘獨 create_generated_clock-name clock_name -source
10、master_pin-divide_bydivide_factor | -multiply_bymultiply_factor-duty_cycle percent source_objects獨 pt_shellcreate_generated_clock -multiply_by 2-duty_cycle60 -source get_pins CLK get_pins fool、時序分析命令禁止時序弧分別禁止時序弧備 pt_shellset_disable_timing-from A2 -to Z get_cells Ul富 pt_shellreport_disable_timing情形分
11、析富 pt_shellset_case_analysis 1 test_mode扇 pt_shellremove_case_analysistest_mode窯時序分析命令虹多周期路徑您司 set_multicycle_path -from -to 獨 pt_shellset_multicycle_path 2 -setup -from regA/clk -to regB/D、時序分析命令虛假路徑甄 set_false_path-from from_list-through through_list-to to_list您司 pt_shellset_false_path -from ff12
12、-to ff34獨 pt_shellset_false_path -from ffl/CP -through Ul/Z U2/Z -through U3/Z U4/C -to ff2/D獨 pt_shellforeach_in_collection clkl all_clocks foreach_in_collectionclk remove_from_collection all_clocks get_clocks $clkl set_false_path -from get_clocks $clkl -to get_clocks $clk2時序分析命令生成報告獨 report_timing-from from_list -to to_list -through through_list-delay_typedelay_type -nworstpaths_per_endpoint -max_paths count -nets -group group_name -significant_digits digits -nosplit -transition_time -capacitance時序分析命令生成報告魚可 report_bottleneck-from from_list -to to_list-through through_lis
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 精神科建設(shè)規(guī)劃
- 干擾電治療儀操作流程
- 北京市朝陽區(qū)2017-2018學(xué)年九年級下學(xué)期綜合練習(xí)(二模)生物試題
- 華東師大版七年級科學(xué)下冊第一章6-水資源的利用和保護(hù)含解析
- 政務(wù)窗口服務(wù)培訓(xùn)
- 福利待遇關(guān)懷員工
- 眼科手術(shù)室層流
- 愛護(hù)圖書大班社會活動
- 精神病學(xué)相關(guān)
- 辦公室職業(yè)病演講
- 幼兒游戲的課件
- 2025年重慶貨運從業(yè)資格證考試題及答案詳解
- 三三制薪酬設(shè)計
- 中藥鑒定學(xué)智慧樹知到答案2024年中國藥科大學(xué)
- 現(xiàn)代教育技術(shù)智慧樹知到期末考試答案章節(jié)答案2024年濟寧學(xué)院
- 現(xiàn)代通信技術(shù)導(dǎo)論智慧樹知到期末考試答案章節(jié)答案2024年北京科技大學(xué)
- 優(yōu)秀團支部申報表
- 初中體育 健美操初級12個教案
- 常德市垃圾填埋場設(shè)計計算說明書
- 第三章 高分子的溶液性質(zhì)
- 第二講鍋爐水壓試驗
評論
0/150
提交評論