版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)
文檔簡介
1、EDA課 程 設(shè)計實驗報告交通信號控制器的VHDL的設(shè)計一、設(shè)計任務(wù)及要求:設(shè)計任務(wù): 模擬十字路口交通信號燈的工作過程,利用實驗板上的兩組紅、黃、綠 LED作為交通信號燈,設(shè)計一個交通信號燈控制器。要求:( 1) 交通燈從綠變紅時,有 4 秒黃燈亮的間隔時間;( 2) 交通燈紅變綠是直接進行的,沒有間隔時間;( 3) 主干道上的綠燈時間為 40 秒,支干道的綠燈時間為 20 秒;( 4) 在任意時間,顯示每個狀態(tài)到該狀態(tài)結(jié)束所需的時間。支干道主干道圖 1路口交通管理示意圖ABCD主干道交通燈綠( 40 秒)黃(4 秒)紅( 20 秒)紅(4 秒)支干道交通燈紅紅綠黃表 1交通信號燈的 4 種
2、狀態(tài)設(shè)計要求:( 1) 采用 VHDL語言編寫程序,并在 QUARTUSII工具平臺中進行仿真,下載到EDA實驗箱進行驗證。( 2) 編寫設(shè)計報告,要求包括方案選擇、程序清單、調(diào)試過程、測試結(jié)果及心1得體會。二 設(shè)計原理1、設(shè)計目的:學(xué)習(xí) DEA開發(fā)軟件和 QuartusII的使用方法, 熟悉可編程邏輯器件的使用。通過制作來了解交通燈控制系統(tǒng), 交通燈控制系統(tǒng)主要是實現(xiàn)城市十字交叉路口紅綠燈的控制2設(shè)計說明( 1)第一模塊: clk 時鐘秒脈沖發(fā)生電路在紅綠燈交通信號系統(tǒng)中,大多數(shù)情況是通過自動控制的方式指揮交通的。因此為了避免意外事件的發(fā)生,電路必須給一個穩(wěn)定的時鐘( clock )才能讓系
3、統(tǒng)正常運作。模塊說明:系統(tǒng)輸入信號:Clk: 由外接信號發(fā)生器提供 256 的時鐘信號;系統(tǒng)輸出信號: full :產(chǎn)生每秒一個脈沖的信號;( 2)第二模塊:計數(shù)秒數(shù)選擇電路計數(shù)電路最主要的功能就是記數(shù)負責顯示倒數(shù)的計數(shù)值, 對下一個模塊提供狀態(tài)轉(zhuǎn)換信號。模塊說明:系統(tǒng)輸入: full: 接收由 clk 電路的提供的 1hz 的時鐘脈沖信號;系統(tǒng)輸出信號: tm: 產(chǎn)生顯示電路狀態(tài)轉(zhuǎn)換信號tl :倒計數(shù)值秒數(shù)個位變化控制信號th:倒計數(shù)值秒數(shù)十位變化控制信號(3)第三模塊:紅綠燈狀態(tài)轉(zhuǎn)換電路本電路負責紅綠燈的轉(zhuǎn)換。模塊說明:系統(tǒng)輸入信號 :full: 接收由 clk 電路的提供的 1hz 的時
4、鐘脈沖信號;tm: 接收計數(shù)秒數(shù)選擇電路狀態(tài)轉(zhuǎn)換信號;系統(tǒng)輸出信號:comb_out:負責紅綠燈的狀態(tài)顯示。(4)第四模塊:時間顯示電路本電路負責紅綠燈的計數(shù)時間的顯示。2模塊說明:系統(tǒng)輸入信號:tl :倒計數(shù)值 秒數(shù)個位變化控制信號;th:倒計數(shù)值 秒數(shù)十位變化控制信號;系統(tǒng)輸出信號:led7s1:負責紅綠燈的顯示秒數(shù)個位。led7s2:負責紅綠燈的顯示秒數(shù)十位。三、設(shè)計方案定時時間到檢測電路狀態(tài)發(fā)生器時間顯示數(shù)據(jù)輸出預(yù)置數(shù)產(chǎn)(兩位二進計時器生電路制計數(shù)器)紅黃綠燈輸出紅黃綠燈信號輸出1 秒時鐘脈沖信控制電路( RYG)號發(fā)生器圖 2 交通信號燈控制器的原理框圖采用 VHDL語言輸入的方式實
5、現(xiàn)交通信號燈控制器CLK秒脈沖信號計數(shù)器狀態(tài)寄存器時間顯示數(shù)據(jù)輸出(進程 P3、(進程 P6)發(fā)生器(進程 P1和 P2)P4 和 P5)次態(tài)發(fā)生器信號燈輸出信號(進程 P7)信號燈輸出圖 3 交通信號燈控制器程序原理框圖該程序由 7 個進程組成,進程 P1 和 P2 將 CLK信號分頻后產(chǎn)生1 秒信號,進程 P3、P4、P5 構(gòu)成兩個帶有預(yù)置數(shù)功能的十進制計數(shù)器, 其中 P4 產(chǎn)生允許十位計數(shù)器計數(shù)的控制信號。進程 P6 實現(xiàn)狀態(tài)轉(zhuǎn)換和產(chǎn)生狀態(tài)轉(zhuǎn)換的控制信號,進程 P7 產(chǎn)生次態(tài)信號和信號燈輸出信號,以及每一個狀態(tài)的時間值。四程序清單:3LIBRARY IEEE;USE IEEE.STD_L
6、OGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY traffic ISPORT (clk:in std_logic;led7s1:out std_logic_vector(6 downto 0);led7s2:out std_logic_vector(6 downto 0);comb_out:out std_logic_vector(5 downto 0);END;ARCHITECTURE one OF traffic ISTYPE dm IS (s0,s1,s2,s3);SIgnal current_state,next_state:d
7、m;SIGNAL FULL : STD_LOGIC;SIGNALtl :STD_LOGIC_VECTOR(6 DOWNTO 0);SIGNALth:STD_LOGIC_VECTOR(1 DOWNTO 0);SIGNALtm :STD_LOGIC_VECTOR(6 DOWNTO 0);SIGNALTIME:STD_LOGIC_VECTOR(6 DOWNTO 0);BEGINP_REG: PROCESS(CLK)VARIABLE CNT8:STD_LOGIC_VECTOR(7 DOWNTO 0);BEGINIF CLK'EVENT AND CLK='1' THENIF CN
8、T8 = "11111111" THENCNT8:="01111111"FULL<='1'ELSE CNT8 := CNT8+1;FULL <= '0'END IF;END IF;END PROCESS P_REG;PROCESS(full)BEGINIF full'EVENT AND full='1' THENIF TIME<"1000011" THENTIME<=TIME+1;ELSe TIME <="0000000"END
9、IF;END IF;END PROCESS;REG:process( full,current_state)BEGINIF full='1' AND full'EVENT THENcurrent_state<=next_state;END IF;4END process;COM:process(current_state, time)begincase current_state iswhen s0=>comb_out<="001100"tm<=39-time;if time=39thennext_state<=s1;e
10、lse next_state<=s0;end if;when s1=>comb_out<="010100"tm<=43-time;if time=43 thennext_state<=s2;else next_state<=s1;end if;when s2=>comb_out<="100010"tm<=63-time;if time=63 thennext_state<=s3;else next_state<=s2;end if;when s3=>comb_out<=&quo
11、t;100001"tm<=67-time;if time=67 thennext_state<=s0;else next_state<=s3;end if;end case;end process;PROCESS(tm)BEGINIF tm>=30 THEN th<="11"tl<=tm-30;ELSIF tm>=20 THEN th<="10"tl<=tm-20;ELSIF tm>=10 THEN th<="01"tl<=tm-10;ELSEth<
12、;="00"tl<=tm;END IF;END PROCESS;process(th,tl)begincase th iswhen"00"=>led7s1<="0111111"when"01"=>led7s1<="0000110"when"10"=>led7s1<="1011011"when"11"=>led7s1<="1001111"when others=&g
13、t;null;end case;case tl iswhen "0000000"=>led7s2<="0111111"when"0000001"=>led7s2<="0000110"when "0000010"=>led7s2<="1011011"when"0000011"=>led7s2<="1001111"5when"0000100"=>led7s2<=
14、"1100110"when "0000101"=>led7s2<="1101101"when "0000110"=>led7s2<="1111101"when"0000111"=>led7s2<="0000111"when "0001000"=>led7s2<="1111111"when "0001001"=>led7s2<="
15、1101111"when others=>null;end case;end process;end;五 仿真結(jié)果(如下圖)六 引腳設(shè)置接下來就要選擇目標器件并對相應(yīng)的引腳進行鎖定了,我們選擇的器件為cyclone 系列的 EP1C3T144芯片,引腳鎖定方法如下圖所示。將未使用的管腳設(shè)置為三態(tài)輸入(一定要設(shè)置,否則可能會損壞芯片)。本實驗只能用采用模式6 下載調(diào)試,一切正常6七 實驗心得體會通過這次課程設(shè)計,我進一步加深了對電子設(shè)計自動化的了解。并進一步熟練了對 QuartusII軟件的操作。在編寫程序的過程中,遇到了很多問題,使我發(fā)現(xiàn)自己以前學(xué)習(xí)上存在的不足。通過與同學(xué)探討和請教老師, 終于把問題都解決了,并加深了對交通燈原理和設(shè)計思路的了解。同時也掌握了做課程設(shè)計的一般流程,為以后的設(shè)計積累了一定的經(jīng)驗。做課程設(shè)計時,先查閱相關(guān)知識,把原理吃透,確定一個大的設(shè)計方向, 在按
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
- 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 2025年環(huán)氧大豆油項目提案報告模式
- 2025售樓部購房合同范本
- 2025家禽類產(chǎn)品普通買賣合同
- 我們的節(jié)日清明活動總結(jié)15篇
- 感恩節(jié)國旗下幼兒講話稿(15篇)
- 數(shù)學(xué)老師家長會發(fā)言稿合集15篇
- 安全第一安全事故案例分析的必要性
- 智能制造技術(shù)在教育科技中的推動作用
- 科技賦能教育創(chuàng)新招生宣傳策略
- 家長如何通過經(jīng)典閱讀培養(yǎng)孩子的閱讀習(xí)慣
- 加油站廉潔培訓(xùn)課件
- 2022版義務(wù)教育(生物學(xué))課程標準(附課標解讀)
- 2023屆上海市松江區(qū)高三下學(xué)期二模英語試題(含答案)
- 誡子書教案一等獎?wù)]子書教案
- 《民航服務(wù)溝通技巧》教案第16課民航服務(wù)人員平行溝通的技巧
- 深圳市物業(yè)專項維修資金管理系統(tǒng)操作手冊(電子票據(jù))
- 2023年鐵嶺衛(wèi)生職業(yè)學(xué)院高職單招(數(shù)學(xué))試題庫含答案解析
- 起重機械安裝吊裝危險源辨識、風險評價表
- 華北理工兒童口腔醫(yī)學(xué)教案06兒童咬合誘導(dǎo)
- 中國建筑項目管理表格
- 高一3班第一次月考總結(jié)班會課件
評論
0/150
提交評論