版權說明:本文檔由用戶提供并上傳,收益歸屬內容提供方,若內容存在侵權,請進行舉報或認領
文檔簡介
1、精選優(yōu)質文檔-傾情為你奉上 本科實驗報告實驗名稱: 可編程邏輯器件實驗報告 課程名稱:可編程邏輯器件實驗時間:任課教師:實驗地點:實驗教師:實驗類型: 原理驗證 綜合設計 自主創(chuàng)新學生姓名:學號/班級:組 號:學 院:同組搭檔:專 業(yè):成 績:9999計數(shù)器一、實驗目的編程實現(xiàn)一個含清零功能9999計數(shù)器,并用7段數(shù)碼管顯示。二、實驗器材EPM7128STC100-15,計算機三、實驗過程(1)原理分析a、分頻要實現(xiàn)一個0-9999計數(shù)器,并且肉眼可觀,但是EPM7128STC100-15系統(tǒng)只有一個6M的時鐘,頻率太高,肉眼不可見,因此得用一個分頻器將系統(tǒng)時鐘降下來。本實驗用了6個74LS1
2、90 BCD計數(shù)器級聯(lián),可實現(xiàn)分頻,從而將系統(tǒng)時鐘變?yōu)?HZ。b、計數(shù)系統(tǒng)分頻之后,接下來實現(xiàn)計數(shù),仍然用74LS190 BCD計數(shù)器4個級聯(lián)實現(xiàn)09999的計數(shù)功能,將每個計數(shù)器的管腳輸出。c、掃描實驗要求用4個7段數(shù)碼管輸出,計數(shù)輸出是4個二進制數(shù),因此本步驟的功能是將每個二進制數(shù)對應于一個7段數(shù)碼管,再把單片機系統(tǒng)的時鐘(6M Hz)作為掃描的時鐘,從而實現(xiàn)4個7段數(shù)碼管同步顯示。d、譯碼計數(shù)輸出的是00001001二進制形式的數(shù),而7段數(shù)碼管是abcdefg七段數(shù)碼管,要實現(xiàn)這兩個的連接,需要一個譯碼器,來將這四個數(shù)同步的顯示在七段數(shù)碼管上。(2)程序及圖形設計a、分頻和計數(shù) 圖形設計
3、如下:畫好上面的圖以后編譯后再將上面的設計為一整個芯片,如下圖所示:b、掃描 代碼:-*LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;-*ENTITY Scaner isPORT(rst: instd_logic;- System RSTclk: in std_logic;- System Clocka,b,c,d: instd_logic_vector(3 downto 0);o_data: outstd_logic_vector(3
4、downto 0);o_comm: outstd_logic_vector(3 downto 0)- disp bit ctrl);END Scaner;-*architecture action of Scaner istype s_type is(LED0,LED1,LED2,LED3);signal s_LED : s_type; - State Signal Declarebeginprocess(clk,rst)beginif rst = '0' theno_comm<= "1111"o_data<= "1111"s
5、_LED<= LED0;elsif clk'Event and clk = '1' thencase s_LED is- enter the state of LED when it beginwhen LED0 =>o_comm<= "1110"o_data<= d;s_LED<= LED1;when LED1 =>o_comm<= "1101"o_data<= c;s_LED<= LED2;when LED2 =>o_comm<= "1011"
6、;o_data<= b;s_LED<= LED3;when LED3 =>o_comm<= "0111"o_data<= a;s_LED<= LED0;when others =>s_LED<= LED0;o_comm<= "1111"end case;end if;end process; -/end action;同理,畫好上面的圖以后編譯后再將上面的設計為一整個芯片,如下圖所示:c、譯碼 源代碼:-*LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEE
7、E.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;-*ENTITY encoder isPORT(i_data: instd_logic_vector(3 downto 0);- System Data Bus(in)i_rst: instd_logic;- System RSTo_code: out std_logic_vector(7 downto 0)- LED SEGCODE );END encoder;-*architecture behavior of encoder isbeginprocess (i_rst,i_data
8、)beginif i_rst = '0' then-Reset Stateo_code<= ""elsecase i_data iswhen "0000" =>o_code<=""when "0001" =>o_code<=""when "0010" =>o_code<=""when "0011" =>o_code<=""when "01
9、00"=>o_code<=""when "0101"=>o_code<=""when "0110" =>o_code<=""when "0111" =>o_code<=""when "1000" =>o_code<=""when "1001" =>o_code<=""when others=>
10、;o_code<= "" end case;end if;end process; end behavior;同理,畫好上面的圖以后編譯后再將上面的設計為一整個芯片,如下圖所示:(3)模塊的連接打開一個新的圖形編輯窗口,用剛剛設計的芯片設計電路,如下圖:(4)管腳的分配將設計好的電路分配到單片機的管腳上,具體分配如下:名稱 管腳號rst 89 clk 87o_coad0 75o_coad1 72o_coad2 71o_coad3 70o_coad4 69o_coad5 68o_coad6 67o_coad7 65o_comm0 61o_comm1 63o_comm2
11、64o_comm3 77(5)燒程序打開Quartus II Programmer ,選擇pof文件打開,燒入單片機,具體界面如下圖: 觀察結果。四、實驗結果及問題分析a、實驗結果:燒好板子之后,可以看到板子上的7段數(shù)碼管從0開始計數(shù)。b、問題分析:第一次燒進之后板子開始計數(shù),但是顯示的數(shù)不是09依次計數(shù),而是比較亂,并且現(xiàn)實的數(shù)不是09之間的某一個數(shù),像是亂碼,查看譯碼器之后確定譯碼器沒有問題,各個對應的數(shù)都正確。最后檢查到計數(shù)器和掃描器之間的時候,發(fā)現(xiàn)計數(shù)器后面括號中是從小到大,也就是說MSB是最右邊的一位,而掃描器括號中是從大到小,也就是說MSB是最左邊的一位。意識到把計數(shù)的大小順序弄錯之后,再修改了之后,計數(shù)器正常運行。五、心得體會這學期本
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
- 4. 未經權益所有人同意不得將文件中的內容挪作商業(yè)或盈利用途。
- 5. 人人文庫網僅提供信息存儲空間,僅對用戶上傳內容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
- 6. 下載文件中如有侵權或不適當內容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 2025年度茶葉科研與技術推廣服務合同4篇
- 2025年度茶葉品牌授權經營合同模板4篇
- 2025年度產業(yè)園區(qū)配套服務場承包經營合同樣本4篇
- 專業(yè)廣告策劃與推廣服務協(xié)議樣本版A版
- 2025年度智能家居系統(tǒng)產品試用體驗合同4篇
- 專業(yè)拓展訓練服務協(xié)議范例版
- 專業(yè)保安人員派遣合同合同2024年版版
- 專業(yè)儲油罐租賃服務協(xié)議示例版
- 2024年04月恒豐銀行合肥分行2024年社會招考筆試歷年參考題庫附帶答案詳解
- 2025年度體育場館場地租賃安全與賽事運營管理合同4篇
- 小學利潤問題應用題100道附答案(完整版)
- 對表達方式進行選擇與運用
- 投資固定分紅協(xié)議
- 蘇教版三年級數(shù)學下冊全單元測試題(加答案)
- 副廠長競聘演講稿
- 2024年河北省廊坊市廣陽區(qū)中考一模道德與法治試題
- 電影項目策劃書
- 產業(yè)園區(qū)金融綜合服務創(chuàng)新藍皮書(2024.1)
- 高一數(shù)學單元練習卷
- 國際標準IQ測試題及答案樣本
- 美容院管理制度章程
評論
0/150
提交評論