硬件描述語(yǔ)言與數(shù)字系統(tǒng)開發(fā)(第8章)-10_第1頁(yè)
硬件描述語(yǔ)言與數(shù)字系統(tǒng)開發(fā)(第8章)-10_第2頁(yè)
硬件描述語(yǔ)言與數(shù)字系統(tǒng)開發(fā)(第8章)-10_第3頁(yè)
硬件描述語(yǔ)言與數(shù)字系統(tǒng)開發(fā)(第8章)-10_第4頁(yè)
硬件描述語(yǔ)言與數(shù)字系統(tǒng)開發(fā)(第8章)-10_第5頁(yè)
已閱讀5頁(yè),還剩42頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、硬件描述語(yǔ)言與數(shù)字系統(tǒng)開發(fā)硬件描述語(yǔ)言與數(shù)字系統(tǒng)開發(fā)第第8 8章章 VHDLVHDL應(yīng)用舉例應(yīng)用舉例n帶權(quán)表決器設(shè)計(jì)帶權(quán)表決器設(shè)計(jì)n奇數(shù)分頻器設(shè)計(jì)奇數(shù)分頻器設(shè)計(jì)n數(shù)控分頻器設(shè)計(jì)數(shù)控分頻器設(shè)計(jì)n計(jì)數(shù)計(jì)數(shù)/ /譯碼驅(qū)動(dòng)譯碼驅(qū)動(dòng)/ /掃描顯示電路掃描顯示電路n串串- -并轉(zhuǎn)換與并并轉(zhuǎn)換與并- -串轉(zhuǎn)換串轉(zhuǎn)換n數(shù)字序列的產(chǎn)生與檢測(cè)數(shù)字序列的產(chǎn)生與檢測(cè)n正弦信號(hào)發(fā)生器正弦信號(hào)發(fā)生器n數(shù)字基帶編碼設(shè)計(jì)數(shù)字基帶編碼設(shè)計(jì)帶權(quán)表決器的帶權(quán)表決器的VHDL設(shè)計(jì)設(shè)計(jì)奇數(shù)分頻器的奇數(shù)分頻器的VHDL設(shè)計(jì)設(shè)計(jì)clkinclkoutf p_11inst奇數(shù)分頻器仿真波形奇數(shù)分頻器仿真波形分頻系數(shù)分頻系數(shù)=11數(shù)控分頻器的

2、數(shù)控分頻器的VHDL設(shè)計(jì)設(shè)計(jì)LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY FPQ IS PORT ( CLKIN : IN STD_LOGIC; D : IN STD_LOGIC_VECTOR(7 DOWNTO 0); CLKOUT : OUT STD_LOGIC );END FPQ;ARCHITECTURE one OF FPQ IS SIGNAL FULL : STD_LOGIC;BEGIN P1: PROCESS(CLKIN) VARIABLE CNT8 : STD_LOGI

3、C_VECTOR(7 DOWNTO 0); BEGIN IF CLKINEVENT AND CLKIN = 1 THEN IF CNT8 = 11111111 THEN CLKIND7.0CLKOUTfpqinst CNT8 := D; -當(dāng)當(dāng)CNT8CNT8計(jì)數(shù)計(jì)滿時(shí),輸入數(shù)據(jù)計(jì)數(shù)計(jì)滿時(shí),輸入數(shù)據(jù)D D被同步預(yù)置給計(jì)數(shù)器被同步預(yù)置給計(jì)數(shù)器CNT8CNT8 FULL = 1; -同時(shí)使溢出標(biāo)志信號(hào)同時(shí)使溢出標(biāo)志信號(hào)FULLFULL輸出為高電平輸出為高電平 ELSE CNT8 := CNT8 + 1; -否則繼續(xù)作加否則繼續(xù)作加1 1計(jì)數(shù)計(jì)數(shù) FULL = 0; -且輸出溢出標(biāo)志信號(hào)且輸出溢出標(biāo)

4、志信號(hào)FULLFULL為低電平為低電平 END IF; END IF; END PROCESS P1 ; P2: PROCESS(FULL) VARIABLE CNT2 : STD_LOGIC; BEGIN IF FULLEVENT AND FULL = 1 THEN CNT2 := NOT CNT2; -如果溢出標(biāo)志信號(hào)如果溢出標(biāo)志信號(hào)FULLFULL為高電平,為高電平,D D觸發(fā)器輸出取反觸發(fā)器輸出取反 CLKOUT 88* *25Hz)25Hz),實(shí)現(xiàn)掃描顯示的目的。,實(shí)現(xiàn)掃描顯示的目的。 LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE

5、.STD_LOGIC_UNSIGNED.ALL;ENTITY SCAN_LED IS PORT ( CLK : IN STD_LOGIC; -掃描時(shí)鐘掃描時(shí)鐘信號(hào)輸入信號(hào)輸入 A1,A2,A3,A4,A5,A6,A7,A8 : IN INTEGER RANGE 0 TO 15; SG: OUT STD_LOGIC_VECTOR(6 DOWNTO 0); -段控制信號(hào)輸出段控制信號(hào)輸出(g-a)(g-a) BT: OUT STD_LOGIC_VECTOR(8 DOWNTO 1));-位控制信號(hào)輸出位控制信號(hào)輸出(k8-k1)(k8-k1) END SCAN_LED;ARCHITECTURE on

6、e OF SCAN_LED ISSIGNAL CNT8:STD_LOGIC_VECTOR(2 DOWNTO 0); SIGNAL A :INTEGER RANGE 0 TO 15;BEGINP1:PROCESS( CNT8 ) BEGIN 掃描譯碼顯示電路的掃描譯碼顯示電路的VHDLVHDL設(shè)計(jì)設(shè)計(jì)CLKA13.0A23.0A33.0A43.0A53.0A63.0A73.0A83.0SG6.0BT8.1SCAN_LEDinst CASE CNT8 IS WHEN 000 = BT = 00000001 ; A BT = 00000010 ; A BT = 00000100 ; A BT = 0

7、0001000 ; A BT = 00010000 ; A BT = 00100000 ; A BT = 01000000 ; A BT = 10000000 ; A NULL ; END CASE ; END PROCESS P1; P2:PROCESS(CLK) BEGIN IF CLKEVENT AND CLK = 1 THEN CNT8 SG SG SG SG SG SG SG SG SG SG SG SG SG SG SG SG NULL ; END CASE ; END PROCESS P3; END one; 共陰數(shù)碼管及其電路共陰數(shù)碼管及其電路 CASE A IS WHEN 0

8、 = SG SG SG SG SG SG SG SG SG SG SG SG SG SG SG SG NULL ; END CASE ; Library ieee;Use ieee.std_logic_1164.all;Entity c_b isPort (clr,din,clk: in std_logic; y: out std_logic_vector(4 downto 0);End c_b;Architecture behav of c_b issignal tem:std_logic_vector(5 downto 0);beginp1: process(clk) begin if (

9、clkevent and clk=1) thenif clr=0 thentem=000000;elsif tem(0)=0 thentem=din & 01111;elsetem=din & tem(5 downto 1);end if;end if;end process p1;p2: process(tem) begin if tem(0)=0 then y=tem(5 downto 1); else y=00000; end if; end process p2;end behav;5 5位串位串- -并轉(zhuǎn)換的并轉(zhuǎn)換的VHDLVHDL設(shè)計(jì)設(shè)計(jì)clrdinclkY4.0c

10、_b_5inst5 5位串位串- -并轉(zhuǎn)換仿真波形并轉(zhuǎn)換仿真波形注意:串注意:串-并轉(zhuǎn)換需延時(shí)輸出并轉(zhuǎn)換需延時(shí)輸出4 4位并位并- -串轉(zhuǎn)換的串轉(zhuǎn)換的VHDLVHDL設(shè)計(jì)設(shè)計(jì)clrclkdin3.0Yb_c_4inst4 4位并位并- -串轉(zhuǎn)換仿真波形串轉(zhuǎn)換仿真波形分析波形,找出問(wèn)題,修改完善!分析波形,找出問(wèn)題,修改完善!數(shù)字序列信號(hào)發(fā)生器的數(shù)字序列信號(hào)發(fā)生器的VHDL設(shè)計(jì)設(shè)計(jì)cpresyxxinst數(shù)字序列信號(hào)產(chǎn)生波形數(shù)字序列信號(hào)產(chǎn)生波形當(dāng)當(dāng)reg、n定義為變量時(shí),有何不同?請(qǐng)修改完善!定義為變量時(shí),有何不同?請(qǐng)修改完善!數(shù)字序列檢測(cè)器的數(shù)字序列檢測(cè)器的VHDL設(shè)計(jì)設(shè)計(jì)cpcxycxjci

11、nst數(shù)字序列檢測(cè)數(shù)字序列檢測(cè)仿真波形仿真波形數(shù)字序列檢測(cè)數(shù)字序列檢測(cè)State MachinaState Machina Viewer Viewer分析波形,找出問(wèn)題,修改完善!分析波形,找出問(wèn)題,修改完善!8位并行數(shù)據(jù)位并行數(shù)據(jù)“0”個(gè)數(shù)檢測(cè)器的個(gè)數(shù)檢測(cè)器的VHDL設(shè)計(jì)設(shè)計(jì)clkclrd7.0q2.0zerojcinst8 8位并行數(shù)據(jù)位并行數(shù)據(jù)“0”0”個(gè)數(shù)檢測(cè)仿真波形個(gè)數(shù)檢測(cè)仿真波形正弦波形產(chǎn)生電路設(shè)計(jì)正弦波形產(chǎn)生電路設(shè)計(jì)-宏功能模塊應(yīng)用舉例宏功能模塊應(yīng)用舉例正弦信號(hào)輸出頻率正弦信號(hào)輸出頻率f = f0 /N 正弦波形產(chǎn)生電路設(shè)計(jì)要點(diǎn):正弦波形產(chǎn)生電路設(shè)計(jì)要點(diǎn):自頂向下自頂向下子電路生

12、成子電路生成宏模塊調(diào)用宏模塊調(diào)用混合輸入混合輸入正弦波形產(chǎn)生電路設(shè)計(jì)步驟:正弦波形產(chǎn)生電路設(shè)計(jì)步驟:建立頂層設(shè)計(jì)項(xiàng)目建立頂層設(shè)計(jì)項(xiàng)目編程創(chuàng)建子電路編程創(chuàng)建子電路定制調(diào)用宏模塊定制調(diào)用宏模塊原理框圖混合輸入原理框圖混合輸入clrenclkaddr_cnt645.0addr_cnt64instclrenclkaddr_cnt645.0addr_cnt64inst定制定制LPM_ROM LPM_ROM 建立建立.mif.mif格式文件格式文件 address5.0clockq7.0sin_64rominst1定制定制LPM_ROM元件元件 LPM宏功能塊設(shè)定宏功能塊設(shè)定 7.2.2 定制初始化數(shù)據(jù)文

13、件定制初始化數(shù)據(jù)文件 7.2.3 7.2.3 定制定制LPM_ROM元件元件 選擇選擇data_rom模塊數(shù)據(jù)線和地址線寬度模塊數(shù)據(jù)線和地址線寬度 選擇使能、清零信號(hào)端子選擇使能、清零信號(hào)端子 調(diào)入調(diào)入ROMROM初始化數(shù)據(jù)文件并選擇在系統(tǒng)讀寫功能初始化數(shù)據(jù)文件并選擇在系統(tǒng)讀寫功能 完成頂層設(shè)計(jì)完成頂層設(shè)計(jì) 仿真波形輸出仿真波形輸出 嵌入式邏輯分析儀獲得的波形嵌入式邏輯分析儀獲得的波形 ttttttttt01000011000001010二進(jìn)制代碼(a)(b)(c)(d)(e)(f)(g)(h)(i)數(shù)數(shù)字字基基帶帶信信號(hào)號(hào)的的常常用用碼碼型型(a)(a) 單極性單極性(NRZ)(NRZ)碼碼

14、 ;(b) (b) 雙極性雙極性(NRZ)(NRZ)碼;碼;(c) (c) 單極性單極性(RZ)(RZ)碼;碼; (d) (d) 雙極性雙極性(RZ)(RZ)碼;碼;(e) (e) 差分碼;差分碼;(f) (f) 交替極性碼交替極性碼(AMI)(AMI); (g) (g) 三階高密度雙極性三階高密度雙極性碼碼(HDB(HDB3 3) );(h) (h) 分相碼;分相碼;( (i i) ) 信號(hào)反轉(zhuǎn)碼信號(hào)反轉(zhuǎn)碼(CMI) (CMI) 數(shù)字序列數(shù)字序列AMI編碼設(shè)計(jì)編碼設(shè)計(jì) AMI AMI碼是傳號(hào)交替反轉(zhuǎn)碼,在碼是傳號(hào)交替反轉(zhuǎn)碼,在AMIAMI碼中,二進(jìn)制碼碼中,二進(jìn)制碼0 0用用0 0電平表示,

15、二進(jìn)制碼電平表示,二進(jìn)制碼1 1交替地用交替地用+1+1和和-1-1表示表示 。其編碼規(guī)則是將二進(jìn)制消息代碼。其編碼規(guī)則是將二進(jìn)制消息代碼“1”(1”(傳號(hào)傳號(hào)) )交替地交替地變變換為傳輸碼的換為傳輸碼的“+1”+1”和和“-1”-1”,而,而“0”(0”(空號(hào)空號(hào)) )保持不變。例如:保持不變。例如:數(shù)字序列數(shù)字序列 1 0 0 1 1 0 0 0 0 0 0 0 1 1 0 0 1 1 1 0 0 1 1 0 0 0 0 0 0 0 1 1 0 0 1 1 AMIAMI碼:碼: +1 0 0 1 +1 0 0 0 0 0 0 0 -1 +1 0 0 -1 +1+1 0 0 1 +1 0

16、0 0 0 0 0 0 -1 +1 0 0 -1 +1 clkinputoutput1output2amiinstAMI編碼程序編碼程序仿真波形仿真波形AMI編碼編碼VHDL程序程序AMI譯碼程序及仿真波形譯碼程序及仿真波形clkinput1input2outputamiyminst 第第 8 8 章章 習(xí)題習(xí)題 應(yīng)用應(yīng)用VHDLVHDL描述語(yǔ)句設(shè)計(jì)描述語(yǔ)句設(shè)計(jì): : (1) (1) 分頻系數(shù)為分頻系數(shù)為19,47,11119,47,111的奇數(shù)分頻器的奇數(shù)分頻器 (2) (2) 分頻系數(shù)為分頻系數(shù)為80,88,100,11280,88,100,112的數(shù)控分頻器的數(shù)控分頻器 (3) (3)

17、掃描驅(qū)動(dòng)共陰數(shù)碼管,顯示字符掃描驅(qū)動(dòng)共陰數(shù)碼管,顯示字符“HELLO”HELLO” (4) “01” (4) “01”序列的產(chǎn)生與檢測(cè)電路序列的產(chǎn)生與檢測(cè)電路 (5) 16(5) 16位串行數(shù)據(jù)幀位串行數(shù)據(jù)幀“1”1”個(gè)數(shù)檢測(cè)個(gè)數(shù)檢測(cè) 研究生大作業(yè)選題研究生大作業(yè)選題(1)(1)參考參考P157(P157(數(shù)控分頻器數(shù)控分頻器),),設(shè)計(jì)一個(gè)設(shè)計(jì)一個(gè)SPWMSPWM波形發(fā)生器波形發(fā)生器( (設(shè)開關(guān)頻率設(shè)開關(guān)頻率=10KHz)=10KHz)。(2)(2)參考參考P162(P162(正弦信號(hào)發(fā)生器正弦信號(hào)發(fā)生器),),設(shè)計(jì)一個(gè)三相正弦信號(hào)發(fā)生器設(shè)計(jì)一個(gè)三相正弦信號(hào)發(fā)生器( (設(shè)信號(hào)頻率設(shè)信號(hào)頻率=

18、50Hz) =50Hz) 。(3)(3)參考參考P162(P162(正弦信號(hào)發(fā)生器正弦信號(hào)發(fā)生器),),利用雙口利用雙口RAMRAM設(shè)計(jì)一個(gè)任意波形回放器設(shè)計(jì)一個(gè)任意波形回放器( (設(shè)信號(hào)頻率設(shè)信號(hào)頻率=1KHz)=1KHz)(4)(4)參考參考P191(P191(頻率計(jì)電路頻率計(jì)電路),),設(shè)計(jì)一個(gè)設(shè)計(jì)一個(gè)8 8位數(shù)碼管顯示頻率計(jì)位數(shù)碼管顯示頻率計(jì)( (信號(hào)頻率信號(hào)頻率1M,1M,頻率分辨率頻率分辨率1Hz) 1Hz) 。(5)(5)參考參考P191(P191(頻率計(jì)電路頻率計(jì)電路),),設(shè)計(jì)一個(gè)設(shè)計(jì)一個(gè)4 4位數(shù)碼管顯示相位檢測(cè)器位數(shù)碼管顯示相位檢測(cè)器( (信號(hào)頻率信號(hào)頻率1M,1M,相位

19、分辨率相位分辨率1 1) )(6)(6)參考參考P219(P219(序列檢測(cè)電路序列檢測(cè)電路),),設(shè)計(jì)一任意序列檢測(cè)器設(shè)計(jì)一任意序列檢測(cè)器( (預(yù)置序列預(yù)置序列/ /右移串入右移串入/ /并出并出,9600b/s),9600b/s)(7)(7)參考參考P219(ADC0809P219(ADC0809控制器控制器),),設(shè)計(jì)一個(gè)基于設(shè)計(jì)一個(gè)基于ADC0809ADC0809的數(shù)據(jù)采集的數(shù)據(jù)采集/ /存儲(chǔ)模塊存儲(chǔ)模塊( (信號(hào)頻率信號(hào)頻率10kHz,256)10kHz,256)。(8)(8)參考參考P360(DDSP360(DDS信號(hào)發(fā)生器信號(hào)發(fā)生器),),設(shè)計(jì)一個(gè)多功能相設(shè)計(jì)一個(gè)多功能相/ /頻

20、可調(diào)信號(hào)源頻可調(diào)信號(hào)源( (信號(hào)頻率信號(hào)頻率=100Hz-10KHz=100Hz-10KHz;信號(hào)相;信號(hào)相位位=0-180=0-180) ) 。(9)(9)參考參考P360(DDSP360(DDS信號(hào)發(fā)生器信號(hào)發(fā)生器),),設(shè)計(jì)一個(gè)載波移相調(diào)制設(shè)計(jì)一個(gè)載波移相調(diào)制CPS-PWMCPS-PWM信號(hào)發(fā)生模塊信號(hào)發(fā)生模塊(10kHz,5)(10kHz,5)(10)(10)參考參考P390(13.3),P390(13.3),設(shè)計(jì)一個(gè)步進(jìn)電機(jī)細(xì)分驅(qū)動(dòng)控制器設(shè)計(jì)一個(gè)步進(jìn)電機(jī)細(xì)分驅(qū)動(dòng)控制器(32(32細(xì)分細(xì)分) ) 。(11)(11)參考參考P396(13.4),P396(13.4),設(shè)計(jì)一個(gè)直流電機(jī)設(shè)計(jì)

21、一個(gè)直流電機(jī)PWMPWM控制器控制器(10kHz,(10kHz,占空比占空比0.1-0.90.1-0.9可調(diào)可調(diào)) ) 。(12)(12)參考課件內(nèi)容參考課件內(nèi)容, ,設(shè)計(jì)一個(gè)數(shù)字基帶信號(hào)編碼設(shè)計(jì)一個(gè)數(shù)字基帶信號(hào)編碼/ /解碼模塊解碼模塊( (碼元速率碼元速率9600b/s)9600b/s)。(13)(13)參考課件內(nèi)容參考課件內(nèi)容, ,設(shè)計(jì)一個(gè)數(shù)字調(diào)制信號(hào)調(diào)制設(shè)計(jì)一個(gè)數(shù)字調(diào)制信號(hào)調(diào)制/ /解調(diào)模塊解調(diào)模塊( (碼元速率碼元速率9600b/s) 9600b/s) 。(14)(14)參考相關(guān)內(nèi)容參考相關(guān)內(nèi)容, ,設(shè)計(jì)一個(gè)通用設(shè)計(jì)一個(gè)通用PWMIPPWMIP核模塊核模塊( (帶死區(qū)控制三相帶死區(qū)控制三相6 6路互補(bǔ)輸出路互補(bǔ)輸出, ,頻率頻率10Kz-100KHz /10Kz-100KHz /占空比可占空比可0.1-0.9,0.1

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論