利用鍵盤(pán)開(kāi)關(guān)控制液晶顯示器進(jìn)行十六進(jìn)制數(shù)字顯示_第1頁(yè)
利用鍵盤(pán)開(kāi)關(guān)控制液晶顯示器進(jìn)行十六進(jìn)制數(shù)字顯示_第2頁(yè)
利用鍵盤(pán)開(kāi)關(guān)控制液晶顯示器進(jìn)行十六進(jìn)制數(shù)字顯示_第3頁(yè)
利用鍵盤(pán)開(kāi)關(guān)控制液晶顯示器進(jìn)行十六進(jìn)制數(shù)字顯示_第4頁(yè)
利用鍵盤(pán)開(kāi)關(guān)控制液晶顯示器進(jìn)行十六進(jìn)制數(shù)字顯示_第5頁(yè)
已閱讀5頁(yè),還剩27頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶(hù)提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、中北大學(xué)課程設(shè)計(jì)說(shuō)明書(shū)學(xué)生姓名:_ 張彪 學(xué)號(hào):_ 0706024146學(xué)院:電子計(jì)算機(jī)科學(xué)與技術(shù)學(xué)院專(zhuān)業(yè):微電子學(xué)題 目:利用鍵盤(pán)開(kāi)關(guān)控制液晶顯示器進(jìn)行十六進(jìn)制數(shù)字顯示指導(dǎo)教師: 王紅亮職稱(chēng):講師2010年6月25日1、課程設(shè)計(jì)的冃的22、課程設(shè)計(jì)內(nèi)容和要求22. 1、課程設(shè)計(jì)內(nèi)容22. 2、課程設(shè)計(jì)要求23、設(shè)計(jì)方案及實(shí)現(xiàn)情況23. 1、設(shè)計(jì)思路23. 2、工作原理及框圖 33. 3、各模塊功能描述33、4、程序 vhdl 語(yǔ)言源代碼43.5、仿真結(jié)果123.6、實(shí)驗(yàn)箱驗(yàn)證情況134、課程設(shè)計(jì)總結(jié)165、參考文獻(xiàn)176、附錄:液品顯示器簡(jiǎn)介171、課程設(shè)計(jì)的目的(1) 學(xué)習(xí)操作數(shù)字電路設(shè)計(jì)

2、實(shí)驗(yàn)開(kāi)發(fā)系統(tǒng),掌握液晶顯示模塊的工作原理及應(yīng)用。(2) 掌握組合邏輯電路、時(shí)序邏輯電路的設(shè)計(jì)方法。(3) 學(xué)習(xí)掌握可編程器件設(shè)計(jì)的全過(guò)程。2、課程設(shè)計(jì)的內(nèi)容和要求2.1、課程設(shè)計(jì)內(nèi)容(1) 學(xué)習(xí)掌握鍵盤(pán)開(kāi)關(guān)控制模塊、液晶顯示模塊的工作原理及應(yīng)用;(2) 熟練掌握vhdl編程語(yǔ)言,編寫(xiě)鍵盤(pán)開(kāi)關(guān)控制模塊的控制邏輯;(3) 仿真所編寫(xiě)的程序,模擬驗(yàn)證所編寫(xiě)的模塊功能;(4) 下載程序到芯片屮,破件驗(yàn)證所設(shè)置的功能,能夠?qū)崿F(xiàn)十六進(jìn)制數(shù)字的顯示;(5) 整理設(shè)計(jì)內(nèi)容,編寫(xiě)設(shè)計(jì)說(shuō)明書(shū)。2.2、課程設(shè)計(jì)要求(1) 本課程設(shè)計(jì)說(shuō)明書(shū)。(2) vhdl源程序及內(nèi)部原理圖。(3) 該設(shè)計(jì)可以在實(shí)驗(yàn)箱上正常t作并演

3、示。3、設(shè)計(jì)方案及實(shí)現(xiàn)情況3.1、設(shè)計(jì)思路本次設(shè)計(jì)要求利用viidl語(yǔ)言編寫(xiě)源程序,利用鍵盤(pán)控制液晶顯示十六進(jìn)制數(shù)。因此,本 次設(shè)計(jì)的程序利用矩陣鍵盤(pán)模塊產(chǎn)生的按鍵信號(hào)來(lái)控制ocmj模塊顯示0f十六進(jìn)制數(shù)。當(dāng) 程序被下載到fpga中,首先進(jìn)行上電口復(fù)位,接著檢測(cè)是否有按鍵按下,并判讀是否是一個(gè) 干就,如果確定是0f屮的某一按鍵按下,則程序?qū)z測(cè)ocmj的busy信號(hào)是否為高,若為 高,則繼續(xù)等待,否則將按鍵對(duì)應(yīng)的地址送入ocmj模塊的存儲(chǔ)單元屮,而液晶模塊也會(huì)置 req信號(hào)為高電平,完成一次握手,同時(shí)fpga向0c町發(fā)送一個(gè)四字的命令,分別是顯示 8*8asctt碼命令f1、顯示坐標(biāo)值xxyy

4、,以及按鍵的鍵值qq。(注:第一次傳送前會(huì)先發(fā)送 一個(gè)清零命令f4)若再有按鍵按下則重復(fù)上述過(guò)程。3.2、工作原理及框圖本實(shí)驗(yàn)采用的是ocmj中文模塊系列液晶顯示器,內(nèi)含gb 2312 16*16點(diǎn)陣國(guó)標(biāo)一級(jí)簡(jiǎn) 體漢字和ascijl8*8 (半高)及8*16 (全高)點(diǎn)陣英文字庫(kù),用戶(hù)輸入?yún)^(qū)位碼或ascii碼即 可實(shí)現(xiàn)文本顯示。0c町中文模塊系列液晶顯示器也可用作一般的點(diǎn)陣圖形顯示器之用。提供有位點(diǎn)陣和字 節(jié)點(diǎn)陣兩種圖形顯示功能,用戶(hù)可在指定的屏幕位置上以點(diǎn)為單位或以字節(jié)為單位進(jìn)行圖形 顯示。完全兼容一般的點(diǎn)陣模塊。0c町中文模塊系列液晶顯示器可以實(shí)現(xiàn)漢字'ascii碼、點(diǎn)陣圖形和變化

5、曲線(xiàn)的同屏顯 示,并可通過(guò)字節(jié)點(diǎn)陣圖形方式造字。引腳功能圖和內(nèi)部結(jié)構(gòu)圖分別如下圖1所示。二ljml 二j 空j2£jmax-piu* ii umax2vorkvhdl、>oamha xmnshuqdf graphic £<mor広 max-ius ii me £de vtew syntei assgn£pbor» wndow he>dgci越iwic 列 qb屋 69 倒広itaad軍慮冬劉|3 t f5mrs® 6ck c=>56®” .ck (> 鍛】3托副 w sbusy c=> e

6、e-le 53 oj c=d w j o o o ".mv 1x xah6hi0706024146zhangbiao<> i 04、d(xt(7 0)m 開(kāi)她1 q o ® | maxpius u - c:vrurr2w. 丄沁型“醞 mcztw對(duì)條命名ils1c|« l£| 16:26圖1液品顯示器3.3、各功能模塊作用描述矩陣模塊由四個(gè)子電路構(gòu)成,對(duì)應(yīng)于源程序的四個(gè)進(jìn)程。它們分別是時(shí)鐘產(chǎn)生電路、鍵 盤(pán)掃描屯路、鍵盤(pán)消抖電路、以及鍵盤(pán)譯碼電路。時(shí)鐘產(chǎn)生電路時(shí)利用自由的計(jì)數(shù)器產(chǎn)生各種頻率的吋鐘信號(hào)。在設(shè)計(jì)中,用到了系統(tǒng)時(shí) 鐘信號(hào)、分頻產(chǎn)生的鍵

7、盤(pán)打描信號(hào)、消抖信號(hào)。鍵盤(pán)掃描電路的作用是用來(lái)提供鍵盤(pán)掃描信號(hào)的電路。掃描時(shí)依序掃描八列按鍵,當(dāng)掃描信號(hào)為000時(shí),扌|描0這一列按鍵沒(méi)扌日描一列按鍵,就檢查一次是否有按鍵按下, 如果這排有按鍵按下,就馬上停止掃描,立即進(jìn)行按鍵編碼動(dòng)作,存儲(chǔ)鍵碼,如果沒(méi)有按鍵 按下,則繼續(xù)掃描下一列。鍵盤(pán)消抖電路的使用是因?yàn)榘存I大多是機(jī)械式的開(kāi)關(guān)結(jié)構(gòu),在開(kāi)關(guān)切換的瞬間會(huì)在接觸 點(diǎn)出現(xiàn)來(lái)回彈跳的現(xiàn)彖,因此必須加上鍵盤(pán)消抖電路,避免誤操作信號(hào)的發(fā)生。相關(guān)信號(hào)定義:在本次課程設(shè)計(jì)中,定義的主要輸入輸出信號(hào)有:液晶模塊的請(qǐng)求應(yīng)答 信號(hào)reqbusy (主耍用于0c町是否決定處理數(shù)據(jù)上的數(shù)據(jù));鍵盤(pán)選通信號(hào)sel/ke

8、y (兩者 一起構(gòu)成鍵盤(pán)行、列掃描信號(hào)結(jié)合后的信號(hào)dec);數(shù)據(jù)輸出信號(hào)douto同時(shí)還定義了重要 的過(guò)程信號(hào),其中counter為計(jì)數(shù)信號(hào),用來(lái)確定req (本設(shè)計(jì)中是計(jì)3個(gè)數(shù)后,req才為1, 否則為0)。addrbcginrl來(lái)定義存儲(chǔ)器首地址,addrl用來(lái)存儲(chǔ)地址變量(傳送一個(gè)數(shù)據(jù)需 要送4個(gè)字節(jié),分別是寫(xiě)命令字節(jié),橫坐標(biāo)字節(jié),縱坐標(biāo)字節(jié),寫(xiě)的內(nèi)容字節(jié));addr用來(lái) 定義數(shù)據(jù)地址(由addrbegin和addrl構(gòu)成);dat用來(lái)記錄輸入到led的數(shù)據(jù),counterl 用來(lái)分頻計(jì)數(shù)(本設(shè)計(jì)是四分頻);counte為鍵盤(pán)掃描輔助信號(hào)(類(lèi)似于連接線(xiàn)的作用); counter2為彈跳消

9、除信號(hào)(消抖的方法有三種:加延時(shí)程序,需考慮不同器件的延時(shí)時(shí)間和 溫度;加觸發(fā)器,需考慮時(shí)鐘的同步性;本設(shè)計(jì)采用計(jì)數(shù)的方式來(lái)消除按鍵抖動(dòng)的影響計(jì)三 十次數(shù)之后數(shù)據(jù)穩(wěn)定后才開(kāi)始讀入);dec用來(lái)記錄鍵盤(pán)行、列掃描信號(hào)結(jié)合后的信號(hào),dcc1 用來(lái)記錄按下的為哪個(gè)鍵。clkl,test,koff分別為時(shí)鐘1信號(hào),測(cè)試信號(hào),按鍵消抖信號(hào)(test=, 0 '時(shí),表示有按鍵按下,koff二'0'時(shí),表示按鍵無(wú)抖動(dòng)影響)。3.4、程序vhdl語(yǔ)言源代碼library ieee;use ieee.std_logic_l164. all;use i eee.std logi c uns

10、i gned. all;use ieee. std_logic_arith. all;entity shixian isp0rt(clr, elk, busy: in std logic;req :out std_logic;sei :outstd_logtc_vector (2 downto 0);key :instd_logic_vector (3 downto 0);dout:outstd logic vector(7 downto 0);end shixian;architecture doit of shixian is signal counter :std_logic_vecto

11、r (7 downto 0); signal addrbegin:std logic vectot(7 downto 0); signal addrl: std_logic_vector(7 downto 0); signal addr: std_logic_vector(7 downto 0); signal dat : std_logic_vector(7 downto 0); signal counterl : std_logic_vector(1 downto 0); signal counte : std_logic_vector(2 downto 0); signal countc

12、r2 : std_logic_vcctor(4 downto 0); signal dec : std_logic_vector(6 downto 0);signal clkl,test,koff: stdlogic;signal dcc1:std_logic_vector (3 downto 0); begin test<=key (3) and key (2) and key (1) and key (0); p0: process (clr, elk)beginif(clr='0)thencounter1二00;elsif (clkevent and elk二t) then

13、countcrl<=counterl+l;end i f;end process p0;clkl<='0' when coimterl二01 else'i'pl: process (clr,clkl, test)beginif(clr='0)thencounte<二000;elsif (clkl"event and clkl=,1") thenif (test 二'o') or (koff 二'o') thencounte<=counte;elsecountc二countc+1;

14、end if;end if;end process pl;sei二counte;dcc<=countc & key;p2: process (clk,test)beginif clr二'o' thenthendccl0000;elsif(elk'event and elk二'o') if(dec二0001110") then dec 1二0000;elsif(dec二0011110") then dccl二0001;els辻(dec二0101110)thendccl二0010;elsif(dec二0111110) the

15、n dccl二0011;elsif(dec二1101110") then dcclv0100;elsif(dec二1111110) then dccl二0101;elsif(dcc二0001101) then dccl二0110;elsif(dec二0011101") thendccl二0111;elsif(dec二1001101) then dccl二1000;cis if(dec二1011101") then dccl二1001;els if(dee二1101101)then dccl二1010;els if (dee二1111101") then

16、dccl=1011;elsif(dcc=,0101011?,) then dccl二1100;elsif(dee二0111011") then dccl二1101;els辻(dee二1001011") then dccl二1110;elsif(dee二1011011) then dccl二1111;elsif (test二'0,) then dee ix二1111;end if;end if;end process p2;p3: process (test, elk, clr)beginif (clr=,0?) thencounter2<=z/00000z/;

17、thenkoff二t'elsif (elk'event and elk二t')if (test 二'o') thenwhenwhenwhenwhenwhenwhenwhenwhenwhenwhenwhenwhenjolo 弋、001二jlcxrjlol弋jllo 弋bill弋二001010弋弋low弋 1100、二 10二hhhhhhhnvaddrbeg i nloooo 1001addrbegin00001 lolladdrbeginhj0010001addrbegin人00010101jaddrbegin人 ooollooliaddrbegin000

18、11101addrbegin人001000011addrbegin700100101jaddrbegin人 oololooljaddrbeginloolol 101addrbeginh、oolloooladdrbeginhj0110101 jcolmler2h、00000、八 kof f1'q - elsif(counber2、llllo、) chen counbcrucountcrz+l 八 elsif(counter2u、ll 110、) (hen koffll- end if- end if- end process p3_ p4-process(dec 1) begin cas

19、e dccl is when、0000、haddrbeginh、00000001、j when、0001、haddrbeginh、00000101、八when 1110 => addrbegin<=,z00111001 when二addrbegin<=/00111101when others => null;end case;end process p4;p5: process (clr, busy)beginif (clr=,0*) thenaddr<=,z00000000,z;el si f (busy,event and busy二'0)then a

20、ddr<=addrbegi n+addr1;end if;end process p5;p6: process (clr,busy)beginif(clr二'o') thenaddrl二00000000;elsif (busy" eve nt and busy 二 t)t hen if (addrl=/z0000001 to thenaddrl二00000000;addrl<=addrl+l;end if;end if;end process p6;p7: process (busy, clk)beginif (busy二t') thencount

21、er<=/z00000000/z;elsif (elk'eve nt and elk 二 t') the ncounterucounter+l;end if;end process p7;dout<=dat;requ'l' when counter二00000011" else,0,;p8:process (addr)begincase addr iswhen00000000 二dat二11110100;when00000001 => dat二11110001;when00000010 => dat=00001000;when&

22、quot;0000001 l,z => dat=00001000;when00000100 二dat二00110000;when00000101 二dat二11110001;when00000110 => dat二00001000;when"00000111" => dat二00001000;when00001000 二dat二00110001;when00001001 二dat二111 10011;when00001010 => dat二00001000;when'00001011" => dat二00001000;when

23、00001100 二i)atu00110010;when00001101 二dat二11110001;when“00001110 => dat二00001000;when"00001111 => dat二00001001;when 00010000 二dat二00110011;when00010001 => dat二11110001;when00010010 => dat<=,z00001000,z;when00010011 => dat二00001000;when00010100 二dat二00110100;when00010101 =>

24、dat二11110001;when00010110 => dat二00001000;when00010111 => dat二00001000;when,00011000,z => dat<二00110101;when00011001 => dat二111 10001;when00011010 => dat二00001000;when00011011 => dat二00001000;when“00011100 => dat<二00110110;when00011101 => dat二111 10001;when00011110 =>

25、; dat=00001000;when00011111 => dat二00001000;when00100000 => dat<二00110111;when00100001 二dat二11110001;when"00100010" => da200001000;when00100011 => dat二00001000;when00100100 => dat二00111000;when00100101 二dat<二11110001;when00100110 => dat<=z,00001000,z;when wool 10

26、010、hwhen、oollooll、hwhen、00110100、hwhen wool 10101、hwhen、00110110、"when、00110111、hwhen、00111000、hwhen、00111001、hwhen、00111010、"when wool 11011、hwhen wool 11 loo、hwhen*00111101、datq0002000、dath、oooo1ooo、dath、01000011、dath、111 10001、dat"、00001000、dath、00001000、dath、01000100、dath、1111001

27、1、dath、00001000、 dath、0002000、 dath、01000101、"dath、11110001、jwhenwhen、00100111、00101000、hdatoooo1ooojhdat人00111001jwhen、oololool、" when 、oolololo、h when、00101011、hwhen、00101100、hdath、11110001、datq00001000、 dath、00001000、dat''、01000001、when、00101101、h w一一en、oololllo、hwhen、00101111、h

28、when wool 10000、hdat"、11110001、datu、0002000、 dath、00001000、dat''、01000010、when"dath、11110001jwhen00111110=>when00111111二when"oloooooo"=>whenothersdat二00001000;dat二00001000;dat二01000110;=> dat<=,z00000000/z;end case;end process p8;end doit;3.5、仿真結(jié)果t: q susi wl

29、too z&.4us interval |iuu.uns|3.6、實(shí)驗(yàn)箱驗(yàn)證情況圖5數(shù)字2圖6數(shù)字3圖7數(shù)字4圖8數(shù)字5圖9數(shù)字6圖10數(shù)字7圖11數(shù)字8圖12數(shù)字9圖13字母a圖14字母b圖15字母c圖16字母d圖17字母e圖18字母f32£j|maxpki* ii - c:max2workvhdlmianuii邸xgbs ii &o"w syodt assign uut>e$ qptnn 謝mow “prjp|、程a出3 q 2山石 a t si q號(hào)盜gni |»on«hi (ep1k30tci44-3)coloe i oge

30、ndo unatge«d uvwoutodcd 藝i34§1322j.j how 1 col f«itif4m:k/ i dedimtd lnp< row fftiltiftcko column fotltfack§口|30巖 2111282jl127umttnrwd no<w. !» rmo |lpm>dd_sub 1203|eddcof« addrf 59o |lpm>dd_sue 120s|oddc<xe odd«d 63o ilpm-aod.sub 1209|eddc<x«

31、; odd«d 67o iipm add sub 233)loddcor« odd«docorfv2selected no<mt| & u<nonp>onosin<of n26251216on 藝i15<o.qeng.qenh 開(kāi)始© «®| w f4ax+plu5 11 - c:m3x2w.q就|工催捋瘧二醍示砂g|昌c« . 16:13圖19筲腳分配圖圖20連接圖4、課程設(shè)計(jì)總結(jié)回顧起此次的利用鍵盤(pán)開(kāi)關(guān)控制液晶顯示器進(jìn)行十六進(jìn)制數(shù)字顯示課程設(shè)計(jì),至今我仍 感慨頗多:即便這是第二次做課

32、程設(shè)計(jì),但每次對(duì)我來(lái)說(shuō)都是新的,都需要自c去構(gòu)思,去 熟悉以前的知識(shí)。木次課程設(shè)計(jì)所應(yīng)用的主要由矩陣鍵盤(pán)模塊和液晶模塊兩部分構(gòu)成,由于 需要兩個(gè)模塊共同完成這次試驗(yàn)的,連接的部分顯得尤為重要。在源程序中使用的是信號(hào)來(lái) 作為連接的,它的作用就是一個(gè)橋梁,就類(lèi)似于連接線(xiàn)。我查閱了相關(guān)審陣鍵盤(pán)和液晶模塊 的書(shū)籍,了解了設(shè)計(jì)中所用到的模塊的電氣性能和參數(shù)(主要是液晶模塊ocmj)。在設(shè)計(jì)的過(guò)程屮遇到問(wèn)題,可以說(shuō)得是困難重重,即使是第二次做的,難免會(huì)遇到過(guò)各 種各樣的問(wèn)題:在仿真程序的時(shí)候能順利通過(guò),但在和機(jī)箱連接z后,當(dāng)有些按下鍵時(shí),會(huì) 出現(xiàn)明顯的延時(shí),有的甚至不能顯示出相應(yīng)的數(shù)字。后來(lái)查閱書(shū)籍才知道

33、,當(dāng)按鍵按卜時(shí), 會(huì)出現(xiàn)抖動(dòng),數(shù)據(jù)有一段不穩(wěn)定的時(shí)期,這就需要我們進(jìn)行消抖。消抖的方法有三種:加延 時(shí)程序,需考慮不同器件的延時(shí)時(shí)間和溫度;加觸發(fā)器,需考慮時(shí)鐘的同步性;木設(shè)計(jì)采用 計(jì)數(shù)的方式來(lái)消除按鍵抖動(dòng)的影響計(jì)三十次數(shù)之后數(shù)據(jù)穩(wěn)定后才開(kāi)始讀入。但有時(shí)候電腦的 發(fā)熱等情況也會(huì)彩響到仿真波形,出現(xiàn)抖動(dòng)。這次課程設(shè)計(jì)終于順利完成了,我了解了液品顯示屏的邏輯和時(shí)序控制耍求,掌握液晶 顯示器的工作原理及應(yīng)用及鍵盤(pán)控制模塊的控制邏輯,并知道如何用它們?cè)O(shè)計(jì)一個(gè)基于fpga 的液晶顯示驅(qū)動(dòng)電路,同時(shí)鞏固了自己vhdl知識(shí)。但在設(shè)計(jì)中遇到了很多編程問(wèn)題,最后在 同學(xué)、學(xué)長(zhǎng)以及王紅亮老師的辛勤指導(dǎo)下,終于游逆

34、而解。同時(shí),在王紅亮老師的身上我學(xué) 得到很多實(shí)用的知識(shí),在次我表示感謝!同時(shí),對(duì)給過(guò)我?guī)椭乃型瑢W(xué)、學(xué)長(zhǎng)和齊位指導(dǎo) 老師再次表示忠心的感謝!5、參考文獻(xiàn)(1).侯伯亨,顧新.vhdl硬件描述語(yǔ)言與數(shù)字邏輯電路設(shè)計(jì)m西安:西安電子科技大 學(xué)出版社,1999(2).求是科技.cpld/fpga應(yīng)用開(kāi)發(fā)技術(shù)與工程實(shí)踐m北京:人民郵電出版社,2005(3)羅苑棠.cpld/fpga常用模塊與綜合系統(tǒng)設(shè)計(jì)實(shí)例精講m.北京:電子工業(yè)出版社, 2007(4).基于fpga的數(shù)字系統(tǒng)設(shè)計(jì)m西安:西安電子科技大學(xué)岀版社,20086附錄:液晶顯示器簡(jiǎn)介0cmj中文模塊系列液晶顯示器內(nèi)含gb 2312 16*16

35、點(diǎn)陣國(guó)標(biāo)一級(jí)簡(jiǎn)體漢字和ascii8*8 (半高)及8*16 (全高)點(diǎn)陣英文字庫(kù),用戶(hù)輸入?yún)^(qū)位碼或ascii碼即可實(shí)現(xiàn)文本顯示。0cmj屮文模塊系列液品顯示器也可用作一般的點(diǎn)陣圖形顯示器z用。提供有位點(diǎn)陣和字 節(jié)點(diǎn)陣兩種圖形顯示功能,用戶(hù)可在指定的屏幕位置上以點(diǎn)為單位或以字節(jié)為單位進(jìn)行圖形 顯示。完全兼容一般的點(diǎn)陣模塊。0c町中文模塊系列液晶顯示器可以實(shí)現(xiàn)漢字、ascii碼、點(diǎn)陣圖形和變化曲線(xiàn)的同屏顯 示,并可通過(guò)字節(jié)點(diǎn)陣圖形方式造字。表一:0cmj2x8 (128x32)引腳說(shuō)明引腳名稱(chēng)方向說(shuō)明引腳名稱(chēng)方向說(shuō)明1vled+i背光源正極(led+5v)8db1i數(shù)據(jù)12vled-i背光源負(fù)極(

36、led-0v)9db2i數(shù)據(jù)23vssi地10db3i數(shù)據(jù)34vddi(+5v)11db4i數(shù)據(jù)45reqi請(qǐng)求信號(hào),高電平有效12db5i數(shù)據(jù)56busy0應(yīng)答信號(hào)二1:己收到數(shù)據(jù)并正在處理中=0:模塊空閑,可接收數(shù)據(jù)13db6i數(shù)據(jù)67db0i數(shù)據(jù)014db7i數(shù)據(jù)7硬件接口接口協(xié)議為 請(qǐng)求/應(yīng)答(req/busy)握手方式。應(yīng)答busy高電平(busy二1)表示0cmj 忙于內(nèi)部處理,不能接收用戶(hù)命令;busy低電平(busy二0)表示ocmj空閑,等待接收用 戶(hù)命令。發(fā)送命令到0cmj可在busy二0后的任意時(shí)刻開(kāi)始,先把用戶(hù)命令的當(dāng)前字節(jié)放到 數(shù)據(jù)線(xiàn)上,接著發(fā)高電平req信號(hào)(req

37、 =1)通知0cmj請(qǐng)求處理當(dāng)前數(shù)據(jù)線(xiàn)上的命令或數(shù) 據(jù)。0cmj模塊在收到外部的req高電平信號(hào)后立即讀取數(shù)據(jù)線(xiàn)上的命令或數(shù)據(jù),同時(shí)將應(yīng)答 線(xiàn)busy變?yōu)楦唠娖?,表明模塊已收到數(shù)據(jù)并正在忙于對(duì)此數(shù)據(jù)的內(nèi)部處理,此時(shí),用戶(hù)對(duì)模 塊的寫(xiě)操作已經(jīng)完成,用戶(hù)可以撤消數(shù)據(jù)線(xiàn)上的信號(hào)并可作模塊顯示以外的其他工作,也可 不斷地查詢(xún)應(yīng)答線(xiàn)busy是否為低(busy =0?),如果busy =0,表明模塊對(duì)用戶(hù)的寫(xiě)操作 已經(jīng)執(zhí)行完畢??梢栽偎拖乱粋€(gè)數(shù)據(jù)。如向模塊發(fā)出一個(gè)完整的顯示漢字的命令,包括坐標(biāo) 及漢字代碼在內(nèi)共需5個(gè)字節(jié),模塊在接收到最后一個(gè)字節(jié)后才開(kāi)始執(zhí)行整個(gè)命令的內(nèi)部操 作,因此,最后一個(gè)字節(jié)的應(yīng)答busy高電平(busy二1)持續(xù)時(shí)間較長(zhǎng),具體的時(shí)序圖參見(jiàn) 圖21,對(duì)應(yīng)的具休時(shí)間

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶(hù)所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶(hù)上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶(hù)因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論