下載本文檔
版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領
文檔簡介
1、實驗三:quartus ii的混合輸入及層次化設計練習一、實驗目的(1)掌握和熟悉quartus ii軟件的混合輸入法設計數(shù)字電路 的方法。(2)掌握quartus ii軟件的層次化設計方法及步驟。(3)掌握和熟悉quartus ii軟件的設計輸入、編譯、仿真以及 下載。二、實驗內(nèi)容用quartus ii軟件的原理圖和偵件描述語言混合輸入法 及層次化設計發(fā)昂發(fā)設計一個十進制數(shù)的計數(shù)、譯碼及顯示 電路。三、實驗條件(1)電腦。(2)開發(fā)軟件:quartus ii(3)開發(fā)設備:el edav型;eda實驗開發(fā)系統(tǒng)。(4)擬用芯片:acex1k;eplk100qc208-3o(1)設計一個同步bc
2、d碼十進制計數(shù)器(利用vhdl語言 編寫),設計文件名為count 10.vhd,對其編譯,仿 真通過后,生成電路符號count10.sym,即將我們設計 的十進制計數(shù)器編譯成工作庫中的一個元件。(2)設計一個bcd碼輸入,輸出為共陰極的顯示譯碼器,設 計文件名為dec7s.vhd (利用vhdl語言編寫),對其 編譯,仿真通過后,生成電路符號dec7s.sym,即將我 們設計的顯示譯碼器編譯成工作庫中的一個元件。(3) 利用原理圖輸入法建立頂層設計文件,文件名 c0unt10_top.gdf,文件中要輸入前面連個文件生成 的元件。(4) 對頂層設計文件構(gòu)成的項目進行編譯、仿真及下載,最 后在
3、eda實驗開發(fā)系統(tǒng)上驗證電路的功能。五、實驗設計(1)十進制計數(shù)器的vhdl程序library ieee;use ieee.std_logic_l 164.all;use iccc.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity counter isport(clr : in std.logic;clk : in std_log1c;en : in std_logic;q : buffer std_l0gic_vect0r(3 downto 0);co : out std_logic);end counter;archite
4、cture j of counter issignal q_temp:stdogic_vector(3 downto 0);beginprocess(clk,q_temp)beginifcclk* event and elk二t)then if(clr=t) then q_temp<=(others=>,0,); elsif(en二t)thenif(q_tcmp二tool) thenq_temp<=(others=>,0,);elseq_temp<=q_temp+1;end if;end if;end if;q<=q_temp;end process;co&
5、lt;=* 1' when q_temp=u 1001” and en=* v else 'o'end j;(2)顯示譯碼器的vhdl的程序library ieee;use ieee.std_logic_l 164.all;use ieee.std_logic_unstgned.all;entity led7s isport(q : in std_log1c_vector(3 downto 0);l: out std_logic_vector(6 downto 0) );end led7s;architecture h of led7s isbeginprocess(q
6、)begincase q iswhen',()()()()u=>l<=,()111111”;when”0001 n=>l<=m0000110"when”0010u=>l<=h 1011011°when “00 ir,=>l<=,* 1001111"when”0100"=>lv=” 1100110"when"o io i u=>l<=m lionor';when“0110n=>l<=,* llllior*;when”0111 n=>l&l
7、t;=u0000 111"when ” 1000u=>l<=mwhen" 1001 u=>l<=h 1101111"when others=>l<=h0000000h;end case;end process;3)end h;頂層設計文件原理圖4)波形仿真j-loniloj-u-u-1_ 1i111i可門廠00 o e 勺x 6】 x5)管腳鎖定電路引腳clkclrenl0l6coep1k100qc 208-3-h-丄心丿1pin78pin7pinspin90pin97pin36eda實驗 開發(fā) 系統(tǒng)clk5dodlagledo
8、五、實驗結(jié)果及總結(jié)1)系統(tǒng)仿真情況k master tirne bar:18.1 n$ * pointer:8.72m$ interval8 72m$ start:end:ananevalue)psi qs 2.o3.0 ms4.0ms5.0 ms6 0 ms7.0 ns8. o s9.0 ns 10 0 ms13518.118.1 ns j10clka ( l_i i-i-|-1 1clra :02eha :43coa(f詮4q la ':? x)c(0x £ x ?x6*< o x f ;tnn從系統(tǒng)仿真結(jié)果可以看出,本系統(tǒng)完全符合設計要求,同時 從系統(tǒng)時序仿真結(jié)果可以看出,可以實現(xiàn)譯碼及顯示的功能。2)便件驗證情況當do打到低電平時,數(shù)碼管被清零;當dl打到低電平時, 其保持;當do和dl都為高電平時,計數(shù)器正常計數(shù),且數(shù)碼管 顯示與計數(shù)值對應的數(shù)字。從實驗結(jié)果可以看出,本系統(tǒng)完全符 合設計要求。3)實驗過程
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
- 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 程控放大器課程設計
- 燒結(jié)課程設計
- 五年級數(shù)學(小數(shù)乘除法)計算題專項練習及答案匯編
- 十佳方劑練習卷附答案
- 輕鋼結(jié)構(gòu)農(nóng)業(yè)大棚施工方案
- 深基坑工程施工安全保障方案
- 人教版化學九年級上冊期中考試試卷附答案
- 《婚外情行為侵害配偶權(quán)的法律規(guī)制研究》
- 銀行招聘考試能力監(jiān)控試題
- 二零二五年度辦公設備維修與保養(yǎng)合同
- 《城市違法建設治理研究的文獻綜述》2100字
- 《XL集團破產(chǎn)重整方案設計》
- 智慧金融合同施工承諾書
- 《基于Java web的網(wǎng)上招聘系統(tǒng)設計與實現(xiàn)》10000字(論文)
- 2024年1月國家開放大學法律事務??啤睹穹▽W(1)》期末紙質(zhì)考試試題及答案
- 【MOOC】模擬電子技術基礎-華中科技大學 中國大學慕課MOOC答案
- 科研倫理與學術規(guī)范(研究生)期末試題
- 林下中藥材種植項目可行性研究報告
- 2024年網(wǎng)格員考試題庫完美版
- 北京市矢量地圖-可改顏色
- 2024年農(nóng)民職業(yè)農(nóng)業(yè)素質(zhì)技能考試題庫附含答案
評論
0/150
提交評論