版權說明:本文檔由用戶提供并上傳,收益歸屬內容提供方,若內容存在侵權,請進行舉報或認領
文檔簡介
1、-作者xxxx-日期xxxx算術 邏輯單元ALU【精品文檔】ALU百科名片 ALU算術邏輯單元 (Arithmetic Logic Unit, ALU)是中央處理器(CPU)的執(zhí)行單元,是所有中央處理器的核心組成部分,由"And Gate" 和"Or Gate"構成的算術邏輯單元,主要功能是進行二進制的算術運算,如加減乘(不包括整數(shù)除法)。基本上,在所有現(xiàn)代CPU體系結構中,二進制都以二補數(shù)的形式來表示。目錄定義 運算方法 結構 運算器 主要功能 下面舉例8位ALU的兩種設計方案 生物學中ALU編輯本段定義計算機中執(zhí)行各種算術和邏
2、輯運算操作的部件。運算器的基本操作包括加、減、乘、除四則運算,與、或、非、異或等邏輯操作,以及移位、比較和傳送等操作,亦稱算術邏輯部件(ALU)。計算機運行時,運算器的操作和操作種類由控制器決定。運算器處理的數(shù)據(jù)來自存儲器;處理后的結果數(shù)據(jù)通常送回存儲器,或暫時寄存在運算器中。 ALU數(shù)據(jù)運算器的處理對象是數(shù)據(jù),所以數(shù)據(jù)長度和計算機數(shù)據(jù)表示方法,對運算器的性能影響極大。70年代微處理器常以1個、4個、8個、16個二進制位作為處理數(shù)據(jù)的基本單位。大多數(shù)通用計算機則以16、32、64位作為運算器處理數(shù)據(jù)的長度。能對一個數(shù)據(jù)的所有位同時進行處理的運算器稱為并行運算器。如果一
3、次只處理一位,則稱為串行運算器。有的運算器一次可處理幾位 (通常為6或8位),一個完整的數(shù)據(jù)分成若干段進行計算,稱為串 并行運算器。運算器往往只處理一種長度的數(shù)據(jù)。有的也能處理幾種不同長度的數(shù)據(jù),如半字長運算、雙倍字長運算、四倍字長運算等。有的數(shù)據(jù)長度可以在運算過程中指定,稱為變字長運算。 按照數(shù)據(jù)的不同表示方法,可以有二進制運算器、十進制運算器、十六進制運算器、定點整數(shù)運算器、定點小數(shù)運算器、浮點數(shù)運算器等。按照數(shù)據(jù)的性質,有地址運算器和字符運算器等。 操作運算器能執(zhí)行多少種操作和操作速度,標志著運算器能力的強弱,甚至標志著計算機本身的能力。運算器最基本的操作是加法。一個數(shù)與零相加,等于簡單
4、地傳送這個數(shù)。將一個數(shù)的代碼求補,與另一個數(shù)相加,相當于從后一個數(shù)中減去前一個數(shù)。將兩個數(shù)相減可以比較它們的大小。 左右移位是運算器的基本操作。在有符號的數(shù)中,符號不動而只移數(shù)據(jù)位,稱為算術移位。若數(shù)據(jù)連同符號的所有位一齊移動,稱為邏輯移位。若將數(shù)據(jù)的最高位與最低位鏈接進行邏輯移位,稱為循環(huán)移位。 運算器的邏輯操作可將兩個數(shù)據(jù)按位進行與、或、異或,以及將一個數(shù)據(jù)的各位求非。有的運算器還能進行二值代碼的16種邏輯操作。 寄存器連線面乘、除法操作較為復雜。很多計算機的運算器能直接完成這些操作。乘法操作是以加法操作為基礎的,由乘數(shù)的一位或幾位譯碼控制逐次產生部分積,部分積相
5、加得乘積。除法則又常以乘法為基礎,即選定若干因子乘以除數(shù),使它近似為1,這些因子乘被除數(shù)則得商。沒有執(zhí)行乘法、除法硬件的計算機可用程序實現(xiàn)乘、除,但速度慢得多。有的運算器還能執(zhí)行在一批數(shù)中尋求最大數(shù),對一批數(shù)據(jù)連續(xù)執(zhí)行同一種操作,求平方根等復雜操作。 編輯本段運算方法實現(xiàn)運算器的操作,特別是四則運算,必須選擇合理的運算方法。它直接影響運算器的性能,也關系到運算器的結構和成本。另外,在進行數(shù)值計算時,結果的有效數(shù)位可能較長,必須截取一定的有效數(shù)位,由此而產生最低有效數(shù)位的舍入問題。選用的舍入規(guī)則也影響到計算結果的精確度。 編輯本段結構運算器包括寄存器、執(zhí)行部件和控制電路3個部分。 在典型的運算器
6、中有3個寄存器:接收并保存一個操作數(shù)的接收寄存器;保存另一個操作數(shù)和運算結果的累加寄存器;在進行乘、除運算時保存乘數(shù)或商數(shù)的乘商寄存器。執(zhí)行部件包括一個加法器和各種類型的輸入輸出門電路??刂齐娐钒凑找欢ǖ臅r間順序發(fā)出不同的控制信號,使數(shù)據(jù)經過相應的門電路進入寄存器或加法器,完成規(guī)定的操作。 為了減少對存儲器的訪問,很多計算機的運算器設有較多的寄存器,存放中間計算結果,以便在后面的運算中直接用作操作數(shù)。 為了提高運算速度,某些大型計算機有多個運算器。它們可以是不同類型的運算器,如定點加法器、浮點加法器、乘法器等,也可以是相同類型的運算器。 編輯本段運算器由算術邏輯單元(ALU)、累加寄存器、數(shù)據(jù)
7、緩沖寄存器和狀態(tài)條件寄存器組成,它是數(shù)據(jù)加工處理部件。相對控制器而言,運算器接受控制器的命令而進行動作 ,即運算器所進行的全部操作都是由控制器發(fā)出的控制信號來指揮的所以它是執(zhí)行部件。 編輯本段主要功能執(zhí)行所有的算術運算; 執(zhí)行所有的邏輯運算,并進行邏輯測試,如零值測試或兩個值的比較。 編輯本段下面舉例8位ALU的兩種設計方案使用原理圖方法設計: 使用VHDL方法設計: 8-Bit ALU in VHDL This arithmetic logic unit accepts 8-bit inputs, but it can easily be modded to higher bits. It
8、supports the addition, subtraction, set if less than, AND, and OR operations. The operation to perform is determined by the 3-bit address bus. library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; ENTITY alu8bit IS port(a, b : in std_logic_vector(7
9、 downto 0); - a and b are busses op : in std_logic_vector(2 downto 0); zero : out std_logic; f : out std_logic_vector(7 downto 0); END alu8bit; architecture behavioral of alu8bit is begin process(op) variable temp: std_logic_vector(7 downto 0); begin case op is when "000" => temp := a a
10、nd b; when "100" => temp := a and b; when "001" => temp := a or b; when "101" => temp := a or b; when "010" => temp := a + b; when "110" => temp := a - b; when "111" => if a < b then temp := "11111111" else te
11、mp := "00000000" end if; when others => temp := a - b; end case; if temp="00000000" then zero <= '1' else zero <= '0' end if; f <= temp; end process; end behavioral; 以此我們可以推出4位、32位等等的ALU設計方法。 編輯本段生物學中ALUALU序列 Alu重復序列是哺乳動物基因組中SINE家族的一員,約有50萬份拷貝。也就是說平均46
12、 kb中就有一個 Alu序列。由于這種DNA序列中有限制性內切核酸酶 Alu工的識別序列AGCT,所以稱為 Alu重復序列。典型的人基因組 Alu序列長282 bp,由兩個同源但有差別的亞基構成。亞基來源于有缺失突變和點突變的7SLRNA基因。兩個亞基間由腺嘌呤核苷酸密集的序列連接。右邊的亞基中有無關的31 bp插入片段,稱為IH。 Alu序列兩端各有一個正向重復序列,末端有一個poly(A)尾。 Alu序列一般散在分布,少數(shù)呈簇狀分布。在細胞遺傳學水平上觀察, Alu重復序列集中在基因轉錄最活躍的染色體區(qū)段內。在所有已知的基因內含子中,幾乎都發(fā)現(xiàn)了 Alu序列。 與 Alu序列亞基有很高同源
13、性的7SLRNA是7SRNA的一部分,是將蛋白質運送到內質網以便分泌出胞外的信號識別顆粒(signalrecognition particle,SRP)的組成部分。7SRNA5,端的90個核苷酸同 Alu序列左端同源,中間的160個核苷酸與 Alu序列沒有同源性,而3,端的40個核苷酸與 Alu序列右端同源。7SRNA基因是由RNA聚合酶轉錄的。人體 Alu重復序列絕大多數(shù)要由RNA聚合酶轉錄成RNA,而且 Alu 3,端有polyA尾,這些都提示 Alu重復序列是通過RNA為中介,反轉錄成DNA后整合在基因組新位置上的。這里要提及的是,所有真核細胞里都含有3種RNA聚合酶;RNA聚合酶I負責
14、轉錄rRNA基因,產生核糖體RNA(ribosomal RNA,rRNA);RNA聚合酶負責轉錄所有編碼蛋白質的基因,產生信使RNA(messenger RNA,mRNA),再由多聚腺嘌呤核苷酸聚合酶(poly(A)polymerase)負責加上poly(A)尾;RNA聚合酶負責轉錄轉移RNA(transfer RNA,tRNA)以及細胞核和細胞質內的各種小RNA,這些被轉錄的基因一般長300bp左右,且在基因組的重復拷貝數(shù)可達幾千份甚至上百萬份。 Alu家族不同成員之間的一致序列(consensus sequence)的同一性平均達87。小鼠基因組內約有5萬份拷貝的B1重復序列,長130 bp,與 Alu的一個亞基的同源性達70-80。 哺乳動物基因組中為什么有這么多重復拷貝的DNA序列,目前還不是很清楚?,F(xiàn)已發(fā)現(xiàn)
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
- 4. 未經權益所有人同意不得將文件中的內容挪作商業(yè)或盈利用途。
- 5. 人人文庫網僅提供信息存儲空間,僅對用戶上傳內容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
- 6. 下載文件中如有侵權或不適當內容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 辦公樓安全保衛(wèi)管理規(guī)定(4篇)
- 瑜伽體驗課程設計教案
- 直線式灌裝機課程設計
- 2024年職業(yè)素養(yǎng)培訓考試題庫(附答案)
- 自動線plc課程設計
- 線上教學課程設計大賽
- 餐飲行業(yè)后勤管理工作總結
- 室內設計師工作總結
- 餐飲行業(yè)的衛(wèi)生管理要點
- 客戶服務行業(yè)美工工作總結
- 講師與教育平臺合作合同
- 2025屆江蘇省丹陽市丹陽高級中學高一數(shù)學第一學期期末統(tǒng)考試題含解析
- 汽車保險與理賠課件 3.4認識新能源汽車車上人員責任保險
- GB/T 33629-2024風能發(fā)電系統(tǒng)雷電防護
- 建筑工程施工現(xiàn)場安全檢查手冊
- 小學英語語法練習模擬試卷
- 高標準農田建設項目安全文明施工方案
- 2024-2025學年一年級上冊數(shù)學北師大版4.6《挖紅薯》(教學設計)
- 糖尿病患者體重管理專家共識(2024年版)解讀
- 中國融通集團招聘筆試題庫2024
- 2023年國家衛(wèi)生健康委項目資金監(jiān)管服務中心招聘考試試題及答案
評論
0/150
提交評論