eda課程設計(論文)任意波形發(fā)生器_第1頁
eda課程設計(論文)任意波形發(fā)生器_第2頁
eda課程設計(論文)任意波形發(fā)生器_第3頁
eda課程設計(論文)任意波形發(fā)生器_第4頁
eda課程設計(論文)任意波形發(fā)生器_第5頁
已閱讀5頁,還剩16頁未讀 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權,請進行舉報或認領

文檔簡介

1、 摘 要摘要: 隨著信息科技的發(fā)展,波形發(fā)生器在科技社會等多個領域發(fā)揮著越來越重要作用。信號發(fā)生器一般指自動產(chǎn)生正弦波、方波、三角波等電壓波形的電路或者儀器。傳統(tǒng)信號發(fā)生器大多由模擬電路構成,存在連線復雜、調(diào)試繁瑣且可靠性較差等缺點。本次設計課題為應用VHDL語言及MAX+PLUSII軟件提供的原理圖輸入設計功能,組合電子線路的設計加以完成一個任意波形信號發(fā)生器它具有結構緊湊,性能穩(wěn)定,設計結構靈活,方便進行多功能組成的特點,經(jīng)濟實用,成本低廉??僧a(chǎn)生正弦波、方波、三角波和鋸齒波等多種波形信號,并可使用示波器觀察波形。實現(xiàn)了系統(tǒng)信號實時快速測量,也為其廣泛應用于實際領域創(chuàng)造了條件。關鍵詞:信號

2、發(fā)生器 VHDL MAX+PLUSII 示波器 目 錄緒 論3第一章 系統(tǒng)設計41任意波形信號發(fā)生器的概述:42設計的意義4第二章 系統(tǒng)設計方案51系統(tǒng)框圖52系統(tǒng)電路圖53基本工作原理6第三章單元電路模塊源程序及功能71分頻模塊72頻率選擇模塊83波形選擇模塊94.輸出波形選擇模塊115.幅度控制模塊13轉化模塊:147系統(tǒng)總的仿真14第四章 實驗結果及硬件驗證15實驗心得18致謝詞19參考文獻20 緒 論隨著電子技術的發(fā)展,產(chǎn)品的技術含量越來越高,是得芯片的復雜程度越來越高,人們對數(shù)萬門乃至數(shù)百萬門設計的需求也越來越多,特別是專門集成電路(ASIC)設計技術的日趨進步和完善,推動了數(shù)字系統(tǒng)

3、設計的迅速發(fā)展。僅靠原理圖輸入方式已不能滿足要求,采用硬件設計描述語言VHDL的設計方式應運而生,解決了傳統(tǒng)用電路原理圖設計大系統(tǒng)工程時的諸多不便,成為電路設計人員的最得力助手。設計工作從行為、功能級開始,并向著設計的高層次發(fā)展。信號發(fā)生器是數(shù)字設備運行工作中必不可少的一部分,沒有良好的信號發(fā)生器,最終會導致系統(tǒng)不能正常工作,更不必談什么實現(xiàn)其它功能了。不論是處于開發(fā)還是故障檢修階段,輸出標準且性能優(yōu)越的信號發(fā)生器總是能夠帶來工作效率的大幅提升。新產(chǎn)品有一個標準的信號源,損壞的系統(tǒng)得到正確校驗,不會被一些故障所蒙蔽。在傳統(tǒng)的信號發(fā)生器中,大都使用分立元件,而且體積龐大攜帶不便,且大部分只能輸出

4、一種波習。在設計領域,不管采用什么技術生產(chǎn),生產(chǎn)的產(chǎn)品用在哪里,其產(chǎn)品設計的宗旨都是離不開以下幾點:實用性高、成本低、可升級、功能完善、可擴展等。使用專門的數(shù)字電路設計的信號發(fā)生器,設備成本高、使用復雜。基于以上考慮,在中小型數(shù)字電路的設計和測試中,迫切需要設計一種小型易用成本低廉的信號發(fā)生器。此課題的設計以小型經(jīng)濟、集成度高、性能穩(wěn)定、方便使用為知道,在功能上力求完善實用,同時兼顧商業(yè)價值與應用價值的體現(xiàn)。 第一章 系統(tǒng)設計1任意波形信號發(fā)生器的概述: 隨著信息科技的發(fā)展,波形發(fā)生器在科技社會等多個領域發(fā)揮著越來越重要作用。采用EDA技術利用MAX+PLUSII軟件平臺,設計的多功能波形發(fā)生

5、器系統(tǒng),大大簡化其結構,降低成本,提高了系統(tǒng)的可靠性和靈活性。設計中運用計數(shù)器,數(shù)據(jù)選擇器,對所需的頻率進行選擇和同步。使用宏功能模塊存儲波形。然后多波形進行幅度的選擇。產(chǎn)生滿足需要的不用頻率和幅度的波形。2設計的意義 信號發(fā)生器又稱信號源或振蕩器,在生產(chǎn)實踐和科技領域中有著廣泛地應用。凡是產(chǎn)生測試信號的儀器,統(tǒng)稱為信號源,也稱為信號發(fā)生器,它用于產(chǎn)生被測電路所需特定參數(shù)的電測試信號。信號源主要給被測電路提供所需要的已知信號(各種波形),然后用其它儀表測量參數(shù)??梢?,信號源在電子實驗和測試處理中,并不測量任何參數(shù),而是根據(jù)使用者的要求,仿真各種測試信號,提供給被測電路,以達到測試的需要。它能夠

6、產(chǎn)生多種波形,如三角波、矩形波(含方波)、正弦波等,在電路實驗和設備檢測中具有十分廣泛地用途。例如,在通信、廣播、電視系統(tǒng)中,都需要射頻(高頻)發(fā)射,這里的射頻波就是載波,把音頻(低頻)、視頻信號或脈沖信號運載出去,就需要能夠產(chǎn)生高頻的振蕩器。在工業(yè)、農(nóng)業(yè)、生物醫(yī)學等領域內(nèi),如高頻感應加熱、熔煉、淬火、超聲診斷、核磁共振成像等,都需要功率或大或小、頻率或高或低的信號發(fā)生器。本設計采用EDA來設計制作多功能信號發(fā)生器。該信號發(fā)生器可以產(chǎn)生正弦波、三角波、方波等波形。 第二章 系統(tǒng)設計方案1系統(tǒng)框圖2系統(tǒng)電路圖 3基本工作原理將要產(chǎn)生的波形數(shù)據(jù)存入波形存儲器中, 然后在參考脈沖的作用下, 對輸入的

7、頻率數(shù)據(jù)進行累加, 并將累加器輸出的一部分作為讀取波形存儲器的地址, 將讀出的波形數(shù)據(jù)經(jīng)D/A 轉換為相應的電壓信號,D/A 轉換器輸出的一系列的階梯電壓信號經(jīng)低通濾波器濾波后便輸出了光滑的合成波形的信號。選擇八種基礎波形為設計與實現(xiàn)的對象,而八個波形作為同一個任意波形發(fā)生器里的四個部分,是有著同一個輸入與輸出,因此在設計上還需要對波形進行選擇與控制的部分,通過對時鐘脈沖輸入的選擇,使得八個波形模塊只有一個輸入為時鐘脈沖,其他三個模塊則輸入始終為0。在波形輸出時,設計一個模塊控制輸出的波形是所要求輸出的波形,在時鐘脈沖選擇與輸出波形選擇兩模塊之間。對于頻率的選擇可以選擇分頻器,同時也可以選擇計

8、數(shù)器,本實驗采用的是計數(shù)器以實現(xiàn)分頻的效果,輸出分別為二分頻,四分頻,八分頻,十六分頻用以實現(xiàn)不同的頻率。幅度調(diào)節(jié)可以使用lpm_divide,可以實現(xiàn)八種不同的幅度調(diào)節(jié)。第三章單元電路模塊源程序及功能1分頻模塊以下為分頻模塊(CT74161)的VHDL語言編程源程序:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CT74161 ISPORT(clk : IN STD_LOGIC;clk_div2 : OUT STD_LOG

9、IC;clk_div4 : OUT STD_LOGIC;clk_div8 : OUT STD_LOGIC;clk_div16 : OUT STD_LOGIC);END CT74161;ARCHITECTURE rtl OF CT74161 ISSIGNAL count : STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINPROCESS(clk)BEGINIF (clk'EVENT AND clk='1') THENIF(count="1111") THENCount <= (OTHERS =>'0');

10、ELSECount <= count +1;END IF ;END IF ;END PROCESS;clk_div2 <= count(0);clk_div4 <= count(1);clk_div8 <= count(2);clk_div16 <= count(3);END rtl;在MAX+PLUSII下得到的電路模塊圖形:圖1軟件仿真數(shù)據(jù): 圖 22頻率選擇模塊以下為頻率選擇模塊(tiaopin)的VHDL語言編程源程序library ieee;use ieee.std_logic_1164.all;entity tiaopin is port(s1,s0:

11、 in std_logic; a,b,c,d: in std_logic; y: out std_logic);end tiaopin;architecture one of tiaopin is signal s: std_logic_vector(1 downto 0); signal y_temp: std_logic; begin s<=s1&s0; process(s1,s0,a,b,c,d) begin case s is when "00"=>y_temp<=a; when "01"=>y_temp<=

12、b; when "10"=>y_temp<=c; when "11"=>y_temp<=d; when others=>y<='X' end case; end process; y<=y_temp;end one;在MAX+PLUSII下得到的電路模塊圖形:圖33波形選擇模塊以下為波形選擇模塊(decoder)的VHDL語言編程源程序library ieee;use ieee.std_logic_1164.all;entity DECODER is port(a0,a1,a2,s0,s1,s2:

13、in std_logic; y0,y1,y2,y3,y4,y5,y6,y7:out std_logic);end DECODER;architecture ymq of DECODER issignal a:std_logic_vector(2 downto 0);begin a<=a2&a1&a0;process(a,s0,s1,s2) variable y:std_logic_vector(7 downto 0); begin if(s2='0' and s1='0' and s0='1')then case a is

14、when"000"=>y:="11111110" when"001"=>y:="11111101" when"010"=>y:="11111011" when"011"=>y:="11110111" when"100"=>y:="11101111" when"101"=>y:="11011111" when"11

15、0"=>y:="10111111" when"111"=>y:="01111111" when others=>y:="XXXXXXXX" end case; else y:="11111111" end if; y0<=y(0); y1<=y(1); y2<=y(2); y3<=y(3); y4<=y(4); y5<=y(5); y6<=y(6); y7<=y(7); end process; end ymq;在MAX

16、+PLUSII下得到的電路模塊圖形:圖4軟件仿真數(shù)據(jù):圖 5以下為輸出波形模塊(lpm)的VHDL語言編程源程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity lpm isport (s1,s2,s3:in std_logic; a,b,c,d,e,f,g,h:in std_logic_vector(7 downto 0); z:out std_logic_vector(7 downto 0);end lpm;architecture one of lpm issignal s:st

17、d_logic_vector(2 downto 0);begins<=s3&s2&s1;process (s1,s2,s3,a,b,c,d,e,f,g,h)begincase s iswhen "000"=>z<=a;when "001"=>z<=b;when "010"=>z<=c;when "011"=>z<=d;when "100"=>z<=e;when "101"=>z<=f

18、;when "110"=>z<=g;when "111"=>z<=h;when others=>null ;end case;end process;end one;在MAX+PLUSII下得到的電路模塊圖形:圖 6軟件仿真數(shù)據(jù):圖 7以下為幅度控制模塊(tiaofu)的VHDL語言編程源程序library ieee;use ieee.std_logic_1164.all;entity tiaofu is port(a,b,c: in std_logic; sel: out std_logic_vector(2 downto

19、 0);end tiaofu;architecture three of tiaofu is begin sel<=a&b&c;end three;在MAX+PLUSII下得到的電路模塊圖形圖76.DA轉化模塊:該模塊是通過硬件實現(xiàn)的,實現(xiàn)數(shù)模轉化,所用的芯片為DAC0832,輸入一個八位的數(shù)據(jù),輸入值的范圍為0-255,最高幅度為5V。圖87系統(tǒng)總的仿真仿真波形 第四章 實驗結果及硬件驗證引腳鎖定:試驗中將脈沖輸入選擇和波形輸出選擇的輸入端接在一起,以實現(xiàn)同步。接實驗箱的開關,實現(xiàn)數(shù)字信號對模擬波形的控制。將八位輸出端接數(shù)模轉換模塊。其引腳鎖定圖如下:用導線連接各引腳,并將實驗設計下載到試驗箱。用示波器觀察輸出波形。軟件模擬數(shù)據(jù)和示波器顯示波形相吻合,能產(chǎn)生八種穩(wěn)定的波形,具有八種幅度調(diào)節(jié)和四種頻率選擇。 圖2:實驗硬件驗證圖圖3:實驗產(chǎn)生正弦波波形圖圖4鋸

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論