加法器課程設(shè)計(jì)四位二進(jìn)制同步加法計(jì)數(shù)器_第1頁(yè)
加法器課程設(shè)計(jì)四位二進(jìn)制同步加法計(jì)數(shù)器_第2頁(yè)
加法器課程設(shè)計(jì)四位二進(jìn)制同步加法計(jì)數(shù)器_第3頁(yè)
加法器課程設(shè)計(jì)四位二進(jìn)制同步加法計(jì)數(shù)器_第4頁(yè)
加法器課程設(shè)計(jì)四位二進(jìn)制同步加法計(jì)數(shù)器_第5頁(yè)
已閱讀5頁(yè),還剩13頁(yè)未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、沈陽(yáng)理工大學(xué)專用紙 沈陽(yáng)理工大學(xué) i 成 績(jī) 評(píng) 定 表 學(xué)生姓名班級(jí)學(xué)號(hào) 專 業(yè)課程設(shè)計(jì)題目四位二進(jìn)制同步加法器 (缺 0000,0001,0100,0101) 評(píng) 語(yǔ) 組長(zhǎng)簽字: 成績(jī) 日期 20 年 月 日 沈陽(yáng)理工大學(xué)專用紙 沈陽(yáng)理工大學(xué) ii 課程設(shè)計(jì)任務(wù)書課程設(shè)計(jì)任務(wù)書 學(xué) 院專 業(yè) 學(xué)生姓名班級(jí)學(xué)號(hào) 課程設(shè)計(jì)題目四位二進(jìn)制同步加法計(jì)數(shù)器(缺 0000,0001,0100,0101) 實(shí)踐教學(xué)要求與任務(wù)實(shí)踐教學(xué)要求與任務(wù): : 1.了解數(shù)字系統(tǒng)設(shè)計(jì)方法。 2.熟悉 ise 仿真環(huán)境及 vhdl 下載。 3.熟悉 multisim 仿真環(huán)境。 4.設(shè)計(jì)實(shí)現(xiàn)四位二進(jìn)制同步加法計(jì)數(shù)器(缺

2、 0000,0001,0100,0101) 工作計(jì)劃與進(jìn)度安排工作計(jì)劃與進(jìn)度安排: : 第一周:熟悉 multisim 及 xilinx 及 xilinx ise 環(huán)境,練習(xí)數(shù)字系統(tǒng)設(shè)計(jì)方法 第二周:(1)在 ise 環(huán)境中仿真實(shí)現(xiàn)四位二進(jìn)制同步加法計(jì)數(shù)器(缺 0000,0001,0100,0101)。 (2)在 multisim 環(huán)境中仿真實(shí)現(xiàn)四位二進(jìn)制同步加法計(jì)數(shù)器(缺 0000,0001,0100,0101),并通過(guò)虛擬儀器驗(yàn)證其正確性。 指導(dǎo)教師: 201 年 月 日 專業(yè)負(fù)責(zé)人: 201 年 月 日 學(xué)院教學(xué)副院長(zhǎng): 201 年 月 日 沈陽(yáng)理工大學(xué)專用紙 沈陽(yáng)理工大學(xué) iii 目錄

3、目錄 一、課程設(shè)一、課程設(shè)計(jì)計(jì)目的目的.1 二、設(shè)計(jì)框圖二、設(shè)計(jì)框圖.1 三、三、實(shí)現(xiàn)實(shí)現(xiàn)過(guò)程過(guò)程.1 1、xilinx ise10.1 實(shí)現(xiàn)過(guò)程實(shí)現(xiàn)過(guò)程(vhdl).1 1、1、建立工程.2 1、2、調(diào)試程序.4 1、3、波形仿真.5 1、4、引腳鎖定與下載.7 1、5、仿真結(jié)果分析.10 2 2、multisim10multisim10 實(shí)現(xiàn)過(guò)程實(shí)現(xiàn)過(guò)程( (電路設(shè)計(jì)電路設(shè)計(jì)) ).10 2、1、設(shè)計(jì)原理.10 2、2、基于 multisim 的設(shè)計(jì)電路.13 2、3、虛擬觀察的波形.14 2、4、仿真結(jié)果分析.14 四、四、設(shè)計(jì)總結(jié)設(shè)計(jì)總結(jié).15 五、參考文獻(xiàn)五、參考文獻(xiàn).15 沈陽(yáng)理工

4、大學(xué)專用紙 沈陽(yáng)理工大學(xué) - 1 - 四位二進(jìn)制 加法計(jì)數(shù)器 一一. .課程設(shè)計(jì)的目的課程設(shè)計(jì)的目的 1.了解數(shù)字系統(tǒng)設(shè)計(jì)原理及方法。 2.熟悉 xillinx ise 仿真環(huán)境及 vhdl 下載。 3.熟悉 mutisim 仿真環(huán)境。 4.設(shè)計(jì)實(shí)現(xiàn)(四位二進(jìn)制加法計(jì)數(shù)器(缺 0000,0001,0100,0101) ) 。 二、設(shè)計(jì)框圖二、設(shè)計(jì)框圖 輸入計(jì)數(shù)器脈沖 cpc 送給高位的進(jìn)位信息 由題目可知,無(wú)效狀態(tài)為 0000、0001、0100、0101 根據(jù)二進(jìn)制遞增計(jì)數(shù)的 規(guī)律,可看出狀態(tài)圖如圖 1 所示。 0010 0011 0110 0111 1000 1001 1111 1110

5、1101 1100 1011 1010 圖 1 狀態(tài)圖 三、實(shí)現(xiàn)過(guò)程三、實(shí)現(xiàn)過(guò)程 1、xilinx ise10.1 實(shí)現(xiàn)過(guò)程實(shí)現(xiàn)過(guò)程(vhdl) 代碼 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity count16 is port (cp,r:instd_logic; q:out std_logic_vector(3 downto 0) ); end count16; 沈陽(yáng)理工大學(xué)專用紙 沈陽(yáng)理工大學(xué) - 2 - architecture behavioral of count

6、16 is signal count:std_logic_vector(3 downto 0) ; begin process (cp,r) begin if r=0 then count=1111; elsif cpevent and cp=1 then if count=1111 then count =0010; else count = count +1; if count=0011 then count =0110; else count = count +1; end if; end if; end process; qnext 直至 finish。 沈陽(yáng)理工大學(xué)專用紙 沈陽(yáng)理工大

7、學(xué) - 3 - 沈陽(yáng)理工大學(xué)專用紙 沈陽(yáng)理工大學(xué) - 4 - 1、2、調(diào)試程序 右擊 xc95108-15pc84,選 new source,再選 vhdl module 后,填加文件名(例:file name:hll)next( port name 中隨便填 a)finish。 沈陽(yáng)理工大學(xué)專用紙 沈陽(yáng)理工大學(xué) - 5 - 寫入程序,保存程序 雙擊 implement design(或右鍵 run) ,運(yùn)行程序,調(diào)試成功顯示如下: 1、3、波形仿真 回到 vi.vhd 界面,右鍵點(diǎn)擊 v1 - behavioral(v1.vhd),選 new sourcetest bench wavefor

8、mfile name:t1( 測(cè)試波形文件名 tt),next(連接 v1) nextfinish 沈陽(yáng)理工大學(xué)專用紙 沈陽(yáng)理工大學(xué) - 6 - 左側(cè) sources for 欄內(nèi)選擇 behavioral simulation,選擇 tt ,打開(kāi) processes 下的 xilinx ise simulator 如圖 沈陽(yáng)理工大學(xué)專用紙 沈陽(yáng)理工大學(xué) - 7 - 點(diǎn)擊 simulate behavioral model(或右鍵 run)運(yùn)行仿真波形,如下時(shí)序圖 1、4、引腳鎖定與下載 左上側(cè) source for 選項(xiàng)中選擇 synthesis/implementation,左下側(cè) pro

9、cessesuser constraintsassign package pins 分配引腳:cp-key1,r-sw1,q3-l1,q2-l2,q1-l3,q0-l4。 點(diǎn)擊保存,ok。 沈陽(yáng)理工大學(xué)專用紙 沈陽(yáng)理工大學(xué) - 8 - 回到 ise :processesimplement designoptional implementation tools 雙擊 lock pins 鎖定引腳 processesimplement design雙擊 generate programming fileconfigure device(impact) ,默認(rèn) jtag,finishi,v1.jed

10、 open 沈陽(yáng)理工大學(xué)專用紙 沈陽(yáng)理工大學(xué) - 9 - 右鍵點(diǎn)綠progaramok,結(jié)束下載。 (調(diào)試時(shí) sw 向上是 1;燈亮為 1) 沈陽(yáng)理工大學(xué)專用紙 沈陽(yáng)理工大學(xué) - 10 - 1、5、仿真結(jié)果分析 因?yàn)槲业念}目是四位二進(jìn)制減法計(jì)數(shù)器(0000,0001,0100,0101) , 所以計(jì)數(shù)器是從 15 直接跳變成 2,再?gòu)?3 直接跳變成 5,其余的數(shù)正常跳變, 而根據(jù)波形仿真圖可以十分清楚地看出波形跳變過(guò)程,符合開(kāi)始的設(shè)計(jì)框圖。 2 2、multisim10multisim10 實(shí)現(xiàn)過(guò)程實(shí)現(xiàn)過(guò)程 2、1、設(shè)計(jì)原理 1.選擇觸發(fā)器 選用由于 jk 觸發(fā)器功能齊全、使用靈活,在這里選

11、用 4 個(gè) cp 下降沿觸發(fā)的邊沿 jk 觸發(fā) 器。 2.求時(shí)鐘方程、狀態(tài)方程 (1)求時(shí)鐘方程 采用同步方案,故取 cp0=cp1=cp2=cp3=cp。 cp 是整個(gè)要設(shè)計(jì)的時(shí)序電路的輸入時(shí)鐘脈沖。 沈陽(yáng)理工大學(xué)專用紙 沈陽(yáng)理工大學(xué) - 11 - (2)求狀態(tài)方程 由圖 1 所示狀態(tài)圖可直接畫出如圖 2 所示電路次態(tài)的卡諾圖,再分解 1 3 n q 1 2 n q 1 1 n q 1 0 n q 開(kāi)便可以得到如圖 2 所示各觸發(fā)器的卡諾圖。 n q1 n q0 n q3 n q2 00011110 00xxxx0010xxxx0011 01xxxx011010000111 11110111

12、10xxxx1111 101001101011001011 圖 2 次態(tài)的卡諾圖 1 3 n q 1 2 n q 1 1 n q 1 0 n q n q1 n q0 n q3 n q2 00011110 00x0x0 01x010 1111x1 101111 (a) 沈陽(yáng)理工大學(xué)專用紙 沈陽(yáng)理工大學(xué) - 12 - n q1 n q0 n q3 n q2 00011110 00x0x0 01x101 1111x1 100010 (b) n q1 n q0 n q3 n q2 00011110 00x1x1 01x101 1101x1 100101 (c) n q1 n q0 n q3 n q2

13、00011110 00x0x1 01x001 1110x1 101001 (d) 圖 3 各觸發(fā)器的卡諾圖 (a)的卡諾圖(b)的卡諾圖(c)的卡諾圖(d)的卡諾圖 1 3 n q 1 2 n q 1 1 n q 1 0 n q 顯然,由圖 3 所示各卡諾圖便可很容易地得到 沈陽(yáng)理工大學(xué)專用紙 沈陽(yáng)理工大學(xué) - 13 - (1) qq qqqqq qqqqqqq qqqqq nn nnnnn nnnnnnn nnnnn 0 1 0 1010 1 1 201201 1 2 3301 1 3 4.求驅(qū)動(dòng)方程 jk 觸發(fā)器的特性方程為 nnn qkqjq 1 與特性方程做比較,可得 (2) 1 0

14、0 0 0 1 1 01 2 2 3 013 kj qkj qqkj k qqj n nn nn 2、2、基于 multisim 的設(shè)計(jì)電路 根據(jù)所選用的觸發(fā)器和時(shí)鐘方程、輸出方程、驅(qū)動(dòng)方程,便可以畫出如圖 5 所示的邏輯 電路圖。 圖 4 邏輯電路圖 沈陽(yáng)理工大學(xué)專用紙 沈陽(yáng)理工大學(xué) - 14 - 2、3、虛擬觀察的波形 圖 5 邏輯分析圖 檢查電路能否自啟動(dòng) 將無(wú)效狀態(tài) 0000、0001、0100、0101 代入式(1)進(jìn)行計(jì)算,結(jié)果如下: 0000 00010010(有效狀態(tài)) 0100 01010110(有效狀態(tài)) 可見(jiàn),所設(shè)計(jì)的時(shí)序電路能夠自啟動(dòng)。 2、4、仿真結(jié)果分析 我的題目是

15、四位二進(jìn)制加法計(jì)數(shù)器(缺 0000,0001,0100,0101) ,在 multisim 中,計(jì)數(shù)器也 是從 15 直接跳變成 2,再?gòu)?3 直接跳變成 5,其余的數(shù)正常跳變,小燈根據(jù)數(shù)字的變化 有規(guī)律地亮滅,例如,當(dāng)計(jì)數(shù)器加到 1001 是,會(huì)出現(xiàn)以下現(xiàn)象:從左數(shù)第一個(gè)和第四個(gè) 小燈是亮的,而第二個(gè)和第三個(gè)小燈是滅的,以此類推。而當(dāng)最后計(jì)數(shù)器加到 1111 時(shí), 要進(jìn)行進(jìn)位,變成 0000,這個(gè)時(shí)候表示進(jìn)位的綠燈會(huì)變亮。而且時(shí)序圖會(huì)按照設(shè)計(jì)的那 樣進(jìn)行,類似于 ise 的仿真波形圖。 沈陽(yáng)理工大學(xué)專用紙 沈陽(yáng)理工大學(xué) - 15 - 四、設(shè)計(jì)總結(jié)四、設(shè)計(jì)總結(jié) 1.實(shí)驗(yàn)中遇到的問(wèn)題: 在用 multisim 做仿真波形圖時(shí),最開(kāi)始檢查的仿真結(jié)果是錯(cuò)的,波形不對(duì)。 2.解決辦法: 為了解決問(wèn)題,我又從畫卡諾圖開(kāi)始重新算,一步一步檢查,后來(lái)發(fā)現(xiàn)的卡諾 1 2 n q 圖上的一個(gè) 1 忘記畫圈了,結(jié)果就造成了少了一個(gè)項(xiàng),波形圖和我的題目的波形不 1 2 n q 符合。然后我重新求出驅(qū)動(dòng)方程,也就是把加上一項(xiàng),另外的驅(qū)動(dòng)

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論