EDA交通燈課程設計(得過優(yōu)的哦)_第1頁
EDA交通燈課程設計(得過優(yōu)的哦)_第2頁
EDA交通燈課程設計(得過優(yōu)的哦)_第3頁
EDA交通燈課程設計(得過優(yōu)的哦)_第4頁
EDA交通燈課程設計(得過優(yōu)的哦)_第5頁
已閱讀5頁,還剩6頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內容提供方,若內容存在侵權,請進行舉報或認領

文檔簡介

1、-作者xxxx-日期xxxxEDA交通燈課程設計(得過優(yōu)的哦)【精品文檔】EDA交通燈課程設計 姓名:XXX學號:*專業(yè):*學院:電氣與信息工程學院1 系統(tǒng)功能設計要求1. 東西各設有一個綠、黃、紅指示燈;一個2位7段數(shù)碼管(1)南北和東西方向各有一組綠,黃,紅燈,各自的持續(xù)時間分別為20s,5s,25s;(2)當有特殊情況時,兩個方向均為紅燈,計時暫停,當特殊情況結束后,控制器恢復原來狀態(tài),繼續(xù)正常工作。(3)用兩組數(shù)碼管,以倒計時方式顯示兩個方向允許通行或禁止通行的剩余時間。3. 能實現(xiàn)正常的倒計時顯示功能。能實現(xiàn)總體清理功能,計數(shù)器由初始狀態(tài)開始計數(shù),對應狀態(tài)的指示燈亮。2 設計原理2.

2、1 交通燈控制器的狀態(tài)轉換根據論文題目要求,將紅綠燈的狀態(tài)轉換列成如下表上表為交通燈控制器的狀態(tài)轉換表設計方案 倒計時模塊顯示模塊控制模塊1、顯示模塊由兩部分組成,一是由七段數(shù)碼管組成的倒計時顯示器,每個方向兩個七段數(shù)碼管;二是由發(fā)光二極管代替的交通燈,每個方向3個發(fā)光二極管。2、每個方向有一組2位倒計時器模塊,用以顯示該方向交通燈剩余的點亮時間。3、控制模塊是交通燈的核心,主要控制交通燈按工作順序自動變換,同時控制倒計時模塊工作,每當?shù)褂嫊r回零時,控制模塊接收到一個計時信號,從而控制交通燈進入下一個工作狀態(tài)。2.2 LED動態(tài)顯示與頻率由于交通燈需要使用2位7段LED數(shù)碼管指示通行剩余時間,

3、故采用LED動態(tài)掃描方式顯示當前時間。 頻率設定CLK1k對應的頻率為1024hz 3 電路符號交通控制器的電路符號如圖1.3所示。其中,CLK1K為系統(tǒng)時鐘信號輸入端,SN為禁止通行信號輸入通行信號輸入端,light0為東西紅燈信號輸出端,light1為東西黃燈信號輸出端,light2為東西綠燈信號輸出端,light3為南北紅燈信號輸出端,light4為南北黃燈信號輸出端,light5為南北綠燈信號輸出端,led1、led2、led3、led4、為數(shù)碼管地址選擇信號輸出端。4 設計方法采用文本編輯法,既采用vhdl語言描述交通控制器,代碼如下:library ieee;use ieee.st

4、d_logic_1164.all;use ieee.std_logic_unsigned.all;entity traffic IS port (clk1k,SN:in std_logic; led1, led2, led3, led4 :out std_logic_vector (3 downto 0);-顯示管顯示時間用light:out std_logic_vector (5 downto 0); -紅綠黃燈end traffic;architecture traffic1 of traffic ISsignal S:std_logic_vector (1 downto 0); -狀態(tài)s

5、ignal DXT:std_logic_vector(7 downto 0):=X01;-東西方向時間signal NBX:std_logic_vector(7 downto 0):=X01; -南北方向時間signal ART,AGT,AYT,BRT,BGT,BYT: std_logic_vector(7 downto0);-紅綠黃燈信號signal SL: std_logic;signal temp: integer range 0 to 1023; -產生1s計數(shù)器時計數(shù) signal clk: std_logic; begin sL=1; -紅綠燈時間設定ART=00100101;AG

6、T=00100000;AYT=00000100;BRT=00100101;BGT=00100000;BYT=00000100;process(clk1k) - 選頻率為1024HZbegin if (clk1kevent and clk1k=1) then if temp=1023 then temp=0; clk=1; else temp=temp+1; clk=0; end if;end if; end process; -進程結束process(clk,DXT,NBX) -狀態(tài)轉換進程beginif clkevent and clk =1 then if(DXT =00000001)OR

7、 (NBX = 00000001) then S=S+1; else S=S;end if; -狀態(tài)轉換結束end if;end process;process (clk,SN,S) -倒計時模塊begin if SN = 1 then DXT=DXT; NBXDXT=ART; NBX NBXDXT=AGT; NBXDXTNULL; end case;end if;if DXT/=00000000 then if DXT(3 downto 0)= 0000 then DXT(3 downto 0)=1001; DXT(7 downto 4)=DXT(7 downto 4)-1;else DXT

8、(3 downto 0)=DXT(3 downto 0)-1; DXT(7 downto 4)=DXT(7 downto 4);end if;end if;if NBX/=00000000 then if NBX(3 downto 0)=0000 then NBX(3 downto 0)=1001; NBX(7 downto 4)=NBX(7 downto 4)-1;else NBX(3 downto 0)=NBX(3 downto 0)-1; NBX(7 downto 4)led1=NBX(3 downto 0); led2=NBX(7 downto 4); led3=DXT(3 downt

9、o 0); led4NULL;end case;if SN =1 then lightlight light light light NULL;end case;end if;end process;end traffic1;5 軟件仿真時序仿真圖6 結論 數(shù)碼管動態(tài)顯示,需要通過位選、段選控制。通過查詢手冊,將2位位選信號接到試驗箱數(shù)碼管位選端,將7位段選信號連接到試驗箱數(shù)碼管的段選控制端。當送人合適的控制信號后,數(shù)碼管即可正常工作。通過下載仿真后,能得到預期的實驗結果。通過撥動(開關控制)為高電平,能實現(xiàn)交通燈緊急狀態(tài)(紅燈全亮)。若SN為低電平,數(shù)碼管和主南北的紅綠燈能有序亮滅。7收獲及感想短短一個星期的EDA課程設計很塊就結束了,雖然在之前的學習過程中還存在著沒有弄懂的問題,但是通過這次設計,進一步加深了對EDA的了解,讓我對它有了更加濃厚的興趣。在拿到題目后,首先進行了單元模塊的設計,將每一個單元模塊設計完成后再經行仿真,在波形仿真的過程中,同樣遇到了困難,有的時候,由于END TIME的時間修改的太大,會出現(xiàn)仿真時間過長的問題,這個時候應該要把END TIME的時間相應的改小,或是修改系統(tǒng)時鐘的頻率。在設計的過程中還應該多聯(lián)系下實際情況,要了解實際情況下交通信號燈的工作情況,才能更好的完成此次的課程

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經權益所有人同意不得將文件中的內容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網僅提供信息存儲空間,僅對用戶上傳內容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
  • 6. 下載文件中如有侵權或不適當內容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論