《EDA技術(shù)及應(yīng)用》實(shí)驗(yàn)指導(dǎo)書_第1頁(yè)
《EDA技術(shù)及應(yīng)用》實(shí)驗(yàn)指導(dǎo)書_第2頁(yè)
《EDA技術(shù)及應(yīng)用》實(shí)驗(yàn)指導(dǎo)書_第3頁(yè)
《EDA技術(shù)及應(yīng)用》實(shí)驗(yàn)指導(dǎo)書_第4頁(yè)
《EDA技術(shù)及應(yīng)用》實(shí)驗(yàn)指導(dǎo)書_第5頁(yè)
已閱讀5頁(yè),還剩27頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、實(shí)驗(yàn)一 組合邏輯器件設(shè)計(jì)一、實(shí)驗(yàn)?zāi)康?、 通過(guò)一個(gè)簡(jiǎn)單的38譯碼器的設(shè)計(jì),掌握組合邏輯電路的設(shè)計(jì)方法。2、 掌握組合邏輯電路的靜態(tài)測(cè)試方法。3、 初步了解QUARTUS II原理圖輸入設(shè)計(jì)的全過(guò)程。二、實(shí)驗(yàn)主要儀器與設(shè)備1、 輸入:DIP撥碼開(kāi)關(guān)3位。2、 輸出:LED燈。3、 主芯片:EP1K10TC1003。三、實(shí)驗(yàn)內(nèi)容及原理三-八譯碼器即三輸入,八輸出。輸出與輸入之間的對(duì)應(yīng)關(guān)系如表1-1-1所示。表1-1 三-八譯碼器真值表輸入輸出A2A1A0Y7Y6Y5Y4Y3Y2Y1Y0000000000010010000001001000000100011000010001000001000010

2、100100000110100000011110000000四、預(yù)習(xí)要求做實(shí)驗(yàn)前必須認(rèn)真復(fù)習(xí)數(shù)字電路中組合邏輯電路設(shè)計(jì)的相關(guān)內(nèi)容(編碼器、譯碼器)。五、實(shí)驗(yàn)步驟1、利用原理圖設(shè)計(jì)輸入法畫圖1-1-1。2、選擇芯片ACEX1K EP1K10TC100-3。3、編譯。4、時(shí)序仿真。5、管腳分配,并再次編譯。6、實(shí)驗(yàn)連線。7、編程下載,觀察實(shí)驗(yàn)結(jié)果。圖1-1 三-八譯碼器原理圖六、實(shí)驗(yàn)連線用撥碼開(kāi)關(guān)的低三位代表譯碼器的輸入(A,B,C),將之與EP1K10TC100-3的管腳相連;用LED燈來(lái)表示譯碼器的輸出(D0D7),將之與EP1K10TC100-3芯片的管腳相連。撥動(dòng)撥檔開(kāi)關(guān),可以觀察發(fā)光二極

3、管與輸入狀態(tài)的對(duì)應(yīng)關(guān)系同真值表中所描述的情況是一致的。七、實(shí)驗(yàn)結(jié)果八、思考題在輸入端加入使能端后應(yīng)如何設(shè)計(jì)? 附:用硬件描述語(yǔ)言完成譯碼器的設(shè)計(jì): LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY T2 ISPORT(A: INSTD_LOGIC_VECTOR(2 DOWNTO 0);Y: OUTSTD_LOGIC_VECTOR(7 DOWNTO 0);END T2;ARCHITECTURE A OF T2 ISBEGINWITH A SELECTY =0101 and D=1001) then Y=1; elsif D=0101 then Y=0

4、; else YYYYYYYYYYYYYYYYYY=Z;end case;end process;end;(3)Library IEEE;Use IEEE.std_logic_1164.all;Entity t2_3 isport(A,B,C:In std_logic; Y:Out std_logic_vector(2 downto 0);end t2_3;Architecture struct of t2_3 isbegin process(A,B,C) begin if A=1 then Y=100; elsif B=1 then Y=010; elsif C=1 then Y=001;

5、else Y=000; end if; end process;end;實(shí)驗(yàn)三 觸發(fā)器功能模擬一、實(shí)驗(yàn)?zāi)康?、 掌握觸發(fā)器功能的測(cè)試方法。2、 掌握基本RS觸發(fā)器的組成及工作原理。3、 掌握集成JK觸發(fā)器和邏輯功能及觸發(fā)方式。4、 掌握幾種主要觸發(fā)器之間相互轉(zhuǎn)換的方法。5、 通過(guò)實(shí)驗(yàn),體會(huì)FPGA芯片的高集成度和多I/O口。二、實(shí)驗(yàn)主要儀器與設(shè)備1、輸入:按鍵開(kāi)關(guān)(常高);撥碼開(kāi)關(guān);時(shí)鐘源。2、輸出:LED燈。5、 主芯片:EP1K10TC100-3。三、實(shí)驗(yàn)內(nèi)容及原理圖3-1 四種觸發(fā)器電路原理圖將基本RS觸發(fā)器,同步RS觸發(fā)器,集成J-K觸發(fā)器,D觸發(fā)器同時(shí)集成在一個(gè)CPLD芯片中模擬其功

6、能,并研究其相互轉(zhuǎn)化的方法。四、預(yù)習(xí)要求做實(shí)驗(yàn)前必須認(rèn)真復(fù)習(xí)數(shù)字電路中觸發(fā)器的相關(guān)內(nèi)容,基本RS觸發(fā)器,同步RS觸發(fā)器,J-K觸發(fā)器,D觸發(fā)器,T觸發(fā)器的電路結(jié)構(gòu)及工作原理。五、實(shí)驗(yàn)步驟1、利用原理圖設(shè)計(jì)輸入法畫圖3-1。2、選擇芯片ACEX1K EP1K10TC100-3。3、編譯。4、時(shí)序仿真。5、管腳分配,并再次編譯。6、實(shí)驗(yàn)連線。7、編程下載,觀察實(shí)驗(yàn)結(jié)果。六、實(shí)驗(yàn)連線輸入信號(hào)Sd,Rd對(duì)應(yīng)的管腳接按鍵開(kāi)關(guān),CLK接時(shí)鐘源(頻率40HZ,如圖4-1)圖4-12、編一個(gè)簡(jiǎn)單的從0F輪換顯示十六進(jìn)制的電路。參考電路(時(shí)鐘頻率2HZ,如圖4-2) 圖4-2四、預(yù)習(xí)要求做實(shí)驗(yàn)前必須認(rèn)真復(fù)習(xí)數(shù)字

7、電路中計(jì)數(shù)器的實(shí)現(xiàn)方法,74161的構(gòu)成及功能,七段顯示譯碼器的實(shí)現(xiàn),以及VHDL編程等相關(guān)內(nèi)容。五、實(shí)驗(yàn)步驟1、利用VHDL文本輸入法設(shè)計(jì)DELED模塊,并生成元件符號(hào)。2、利用原理圖設(shè)計(jì)輸入法畫圖4-1。3、選擇芯片ACEX1K EP1K10TC100-3。4、編譯。5、仿真。6、管腳分配,并再次編譯。7、實(shí)驗(yàn)連線。8、編程下載,觀察實(shí)驗(yàn)結(jié)果。同理,完成圖4-2的設(shè)計(jì)過(guò)程。六、實(shí)驗(yàn)連線輸入信號(hào):D3,D2,D1,D0所對(duì)應(yīng)的管腳同四位撥碼開(kāi)關(guān)相連;清零信號(hào)RESET 所對(duì)應(yīng)的管腳同按鍵開(kāi)關(guān)相連;時(shí)鐘CLK 所對(duì)應(yīng)的管腳同實(shí)驗(yàn)箱上的時(shí)鐘源相連;輸出信號(hào):代表掃描片選地址信號(hào)SEL2,SEL1

8、,SEL0的管腳同四位掃描驅(qū)動(dòng)地址的低3位相連,最高位地址接“0”(也可懸空);代表7段字碼驅(qū)動(dòng)信號(hào)A,B,C,D,E,F(xiàn),G的管腳分別同掃描數(shù)碼管的段輸入a,b,c,d,e,f,g相連。觀察數(shù)碼管所顯示的數(shù)字與輸入之間的關(guān)系。七、實(shí)驗(yàn)結(jié)果八、思考題1、 字形編碼的種類,即一個(gè)7段數(shù)碼管可產(chǎn)生多少種字符,產(chǎn)生所有字符需多少根被譯碼信號(hào)線?2、 字符顯示亮度同掃描頻率的關(guān)系,且讓人眼感覺(jué)不出光爍現(xiàn)象的最低掃描頻率是多少?附:模塊DELED的源代碼:LIBRARY ieee;USE ieee.std_logic_1164.ALL;ENTITY deled ISPORT(a: INSTD_LOGIC

9、_VECTOR(3 DOWNTO 0);y: OUTSTD_LOGIC_VECTOR(6 DOWNTO 0);END deled;ARCHITECTURE a OF deled ISBEGINwith a selecty = when 0000, when 0001, when 0010, when 0011, when 0100, when 0101, when 0110, when 0111, when 1000, when 1001, when 1010, when 1011, when 1100, when 1101, when 1110, when 1111, when others

10、;END a;實(shí)驗(yàn)五 計(jì)數(shù)器及時(shí)序電路設(shè)計(jì)(一)一、實(shí)驗(yàn)?zāi)康?、 掌握時(shí)序電路的經(jīng)典設(shè)計(jì)方法(D觸發(fā)器和JK觸發(fā)器和一般邏輯門組成的時(shí)序邏輯電路)。2、 掌握通用同步計(jì)數(shù)器,異步計(jì)數(shù)器的設(shè)計(jì)方法。3、 了解用同步計(jì)數(shù)器通過(guò)清零法和置數(shù)法得到循環(huán)任意進(jìn)制計(jì)數(shù)器的方法。二、實(shí)驗(yàn)主要儀器與設(shè)備1、輸入:時(shí)鐘源。2、輸出:四位七段數(shù)碼顯示管。3、主芯片:EP1K10TC100-3。三、實(shí)驗(yàn)內(nèi)容及原理1、用D觸發(fā)器設(shè)計(jì)異步四位二進(jìn)制加法計(jì)數(shù)器。原理圖如圖5-1所示。圖5-12、 用JK 觸發(fā)器設(shè)計(jì)異步二十進(jìn)制減法計(jì)數(shù)器。原理圖如圖5-2所示。圖5-23、 用74LS161兩個(gè)宏連接成八位二進(jìn)制同步計(jì)數(shù)器

11、。原理圖如圖5-3所示。圖5-3四、預(yù)習(xí)要求做實(shí)驗(yàn)前必須認(rèn)真復(fù)習(xí)數(shù)字電路中計(jì)數(shù)器的實(shí)現(xiàn)方法,74161的構(gòu)成及功能,七段顯示譯碼器的實(shí)現(xiàn),以及硬件描述語(yǔ)言編程等相關(guān)內(nèi)容。五、實(shí)驗(yàn)步驟1、利用VHDL文本輸入法設(shè)計(jì)DELED模塊,并生成元件符號(hào)。2、利用原理圖設(shè)計(jì)輸入法畫圖5-1。3、選擇芯片ACEX1K EP1K10TC100-3。4、編譯。5、仿真。6、管腳分配,并再次編譯。7、實(shí)驗(yàn)連線。8、編程下載,觀察實(shí)驗(yàn)結(jié)果。同理,完成圖5-2、5-3的設(shè)計(jì)過(guò)程。六、實(shí)驗(yàn)連線輸入信號(hào):清零信號(hào)RESET 所對(duì)應(yīng)的管腳同按鍵開(kāi)關(guān)相連;計(jì)數(shù)時(shí)鐘CLK、掃描時(shí)鐘CKDSP 所對(duì)應(yīng)的管腳同實(shí)驗(yàn)箱上的時(shí)鐘源相連

12、(計(jì)數(shù)時(shí)鐘頻率CKCNT4Hz,掃描時(shí)鐘頻率CKDSP40Hz);輸出信號(hào):代表掃描片選地址信號(hào)SEL2,SEL1,SEL0的管腳同四位掃描驅(qū)動(dòng)地址的低3位相連,最高位地址接“0”(也可懸空);代表7段字碼驅(qū)動(dòng)信號(hào)A,B,C,D,E,F(xiàn),G的管腳分別同掃描數(shù)碼管的段輸入a,b,c,d,e,f,g相連。七、實(shí)驗(yàn)結(jié)果八、思考題 在FPGA設(shè)計(jì)中,同步設(shè)計(jì)和異步設(shè)計(jì)有何不同。 附:模塊sh8_4的源代碼LIBRARY ieee;USE ieee.std_logic_1164.ALL;ENTITY sh8_4 ISPORT(sel: INSTD_LOGIC;da: INSTD_LOGIC_VECTOR

13、(7 DOWNTO 0);q: OUT STD_LOGIC_VECTOR(3 DOWNTO 0);END sh8_4;ARCHITECTURE a OF sh8_4 ISBEGINProcessbeginIf sel=0 Then q=da(3 DOWNTO 0);Else q0100 Then out1=0; Else out11001 or d0011 Then out1=0; Else out11011 Then out1=0; Else out1=1; End if; end process;END a;LIBRARY ieee;USE ieee.std_logic_1164.ALL;

14、ENTITY hb4 ISPORT(d:INSTD_LOGIC_VECTOR(3 DOWNTO 0); out1:OUT STD_LOGIC );END hb4;ARCHITECTURE a OF hb4 ISBEGIN process begin If d=1111 or d0011 Then out1=0; Else out1qqqqqqqqNULL; END CASE; end process;END a;實(shí)驗(yàn)七 數(shù)字鐘實(shí)驗(yàn)一、實(shí)驗(yàn)?zāi)康?、 掌握多位計(jì)數(shù)器相連的設(shè)計(jì)方法。2、 掌握十進(jìn)制、六十進(jìn)制、二十四進(jìn)制計(jì)數(shù)器的設(shè)計(jì)方法。3、 繼續(xù)鞏固多位共陰極掃描顯示數(shù)碼管的驅(qū)動(dòng)及編碼。4、 了解

15、和掌握分頻電路實(shí)現(xiàn)的方法。5、 掌握揚(yáng)聲器的驅(qū)動(dòng)。6、 LED 燈的花樣顯示。7、 掌握FPGA技術(shù)的層次化設(shè)計(jì)方法。二、實(shí)驗(yàn)主要儀器與設(shè)備1、輸入:三個(gè)按鍵開(kāi)關(guān)(清零,調(diào)小時(shí),調(diào)分鐘)。2、輸出:8個(gè)LED燈;揚(yáng)聲器;8位七段掃描共陰極數(shù)碼顯示管。3、主芯片:EP1K10TC100-3。三、實(shí)驗(yàn)內(nèi)容及原理在同一塊FPGA芯片EP1K10TC100-3上集成了如下電路模塊:1、時(shí)鐘計(jì)數(shù):秒60進(jìn)制BCD碼計(jì)數(shù);分60進(jìn)制BCD碼計(jì)數(shù);時(shí)24進(jìn)制BCD碼計(jì)數(shù);同時(shí)整個(gè)計(jì)數(shù)器有清零,調(diào)分,調(diào)時(shí)功能。在接近整點(diǎn)時(shí)能提供報(bào)時(shí)信號(hào)。2、有驅(qū)動(dòng)8位七段共陰極掃描數(shù)碼管的片選驅(qū)動(dòng)信號(hào)輸出和七段字行譯碼輸出。

16、編碼和掃描可參照“實(shí)驗(yàn)四”。3、揚(yáng)聲器在整點(diǎn)時(shí)有報(bào)時(shí)驅(qū)動(dòng)信號(hào)產(chǎn)生。4、LED燈按個(gè)人要求在整點(diǎn)時(shí)有花樣顯示信號(hào)產(chǎn)生。四、預(yù)習(xí)要求做實(shí)驗(yàn)前必須認(rèn)真復(fù)習(xí)數(shù)字電路中計(jì)數(shù)器、分頻電路、數(shù)碼驅(qū)動(dòng),以及硬件VHDL編程等相關(guān)內(nèi)容。五、實(shí)驗(yàn)步驟1、利用VHDL文本輸入法設(shè)計(jì)second、minute、hour、alert、seltime、DELED模塊,并生成相應(yīng)的元件符號(hào)。2、利用原理圖設(shè)計(jì)輸入法畫圖7-1。3、選擇芯片ACEX1K EP1K10TC100-3。4、編譯。5、仿真。6、管腳分配,并再次編譯。7、實(shí)驗(yàn)連線。8、編程下載,觀察實(shí)驗(yàn)結(jié)果。圖7-1 原理圖如圖7-1,各模塊分別為:各種進(jìn)制的計(jì)數(shù)及

17、時(shí)鐘控制模塊;掃描分時(shí)顯示,譯碼,分頻模塊;彩燈,揚(yáng)聲器編碼模塊。各模塊都是由VHDL語(yǔ)言編寫。六、實(shí)驗(yàn)連線輸入接口:1、 代表清零,調(diào)時(shí),調(diào)分信號(hào)RESET,SETHOUR,SETMIN的管腳分別連接按鍵開(kāi)關(guān)。2、 代表計(jì)數(shù)時(shí)鐘信號(hào)CLK和掃描時(shí)鐘信號(hào)CKDSP的管腳分別同1HZ時(shí)鐘源和32HZ(或更高)時(shí)鐘源相連。輸出接口:1、 代表掃描顯示的驅(qū)動(dòng)信號(hào)管腳SEL2,SEL1,SEL0和A.G參照實(shí)驗(yàn)四與數(shù)碼管的信號(hào)連接點(diǎn)連接;2、 代表?yè)P(yáng)聲器的驅(qū)動(dòng)信號(hào)的管腳SPEAK同揚(yáng)聲器驅(qū)動(dòng)接口SPEAKER相連;3、 代表花樣LED燈顯示的信號(hào)管腳LAMP0LAMP2同3個(gè)LED燈相連。七、實(shí)驗(yàn)結(jié)果

18、實(shí)驗(yàn)八 A/D轉(zhuǎn)換實(shí)驗(yàn)一、實(shí)驗(yàn)?zāi)康?、 了解ADC0809的工作原理。2、 了解用掃描方式驅(qū)動(dòng)七段碼管顯示的工作原理。3、 了解時(shí)序電路FPGA的實(shí)現(xiàn)。4、 學(xué)習(xí)用VHDL語(yǔ)言來(lái)描述時(shí)序電路的過(guò)程。二、實(shí)驗(yàn)主要儀器與設(shè)備1、可變時(shí)鐘源。2、七段碼顯示。3、A/D轉(zhuǎn)換芯片ADC08094、主芯片EP1K10TC100-3。5、 三個(gè)撥動(dòng)開(kāi)關(guān),進(jìn)行地址選擇。三、實(shí)驗(yàn)原理該實(shí)驗(yàn)是利用FPGA控制ADC0809的時(shí)序,進(jìn)行AD轉(zhuǎn)換,然后將ADC0809轉(zhuǎn)換后的數(shù)據(jù)以十六進(jìn)制的數(shù)據(jù)顯示出來(lái)。ADC0809是8位8通道的逐次比較式AD轉(zhuǎn)換芯片。該芯片管腳如右圖所示。芯片引腳及其說(shuō)明如下:D0D7(2821

19、):8位雙先三態(tài)數(shù)據(jù)線。ADDA、ADDB、ADDC:通道選擇地址。OUTPUT ENABLE:輸出允許控制。Clock:ADC轉(zhuǎn)換時(shí)鐘。Vref、Vref:正負(fù)參考電壓。IN0IN7:8個(gè)模擬信號(hào)輸入通道。START:AD轉(zhuǎn)換啟動(dòng)信號(hào)。EOC:AD轉(zhuǎn)換結(jié)束信號(hào)。ALE:通道地址鎖存信號(hào)。ADC0809的工作時(shí)序如下圖所示。其詳細(xì)工作過(guò)程可查閱其他資料。本實(shí)驗(yàn)FPGA實(shí)現(xiàn)時(shí)必須嚴(yán)格遵守ADC0809的工作時(shí)序,在編寫其驅(qū)動(dòng)代碼時(shí)尤其要注意。ADC0809的時(shí)鐘信號(hào)從FPGA獲取,F(xiàn)PGA的時(shí)鐘在500KHz至800KHz都可以選擇?,F(xiàn)具體介紹代碼編寫思想:首先將要轉(zhuǎn)換的ADC0809的地址輸出

20、,然后產(chǎn)生ALE信號(hào)的,在該信號(hào)的上升沿,地址被打入ADC0809的地址鎖存器,這樣就選中了對(duì)應(yīng)的通道。地址產(chǎn)生結(jié)束后,便可產(chǎn)生START信號(hào),使ADC0809開(kāi)始進(jìn)行AD轉(zhuǎn)換,需要注意的是,在ADC0809轉(zhuǎn)換期間,輸入的模擬信號(hào)必須穩(wěn)定,否則可能出現(xiàn)比較大的誤差。在地址鎖存并且啟動(dòng)轉(zhuǎn)換后,EOC便會(huì)呈現(xiàn)低電平,知道AD轉(zhuǎn)換結(jié)束,所以FPGA在EOC從低電平變成高電平之前,不能讀取ADC的轉(zhuǎn)換數(shù)據(jù)。在EOC變成高電平之后,F(xiàn)PGA便可將OUTPUT INPUT信號(hào)拉高,這樣ADC轉(zhuǎn)換的數(shù)據(jù)就會(huì)呈現(xiàn)在數(shù)據(jù)線上,F(xiàn)PGA讀入該數(shù)據(jù)后,在8位七段碼管上顯示出來(lái),這就是整個(gè)實(shí)驗(yàn)過(guò)程的工作流程。四、預(yù)

21、習(xí)要求做實(shí)驗(yàn)前必須認(rèn)真復(fù)習(xí)ADC0809的工作原理,數(shù)碼顯示,以及時(shí)序電路的VHDL設(shè)計(jì)等相關(guān)內(nèi)容。五、實(shí)驗(yàn)內(nèi)容及步驟本實(shí)驗(yàn)的內(nèi)容就是用FPGA模擬產(chǎn)生ADC0809的時(shí)序,使其正常工作,對(duì)ADC0809輸入一個(gè)模擬量,進(jìn)行A/D轉(zhuǎn)換,然后將讀入后的數(shù)據(jù)進(jìn)行顯示,實(shí)驗(yàn)步驟如下:1、 編寫ADC0809時(shí)序的VHDL代碼。2、 用Quartus II對(duì)其進(jìn)行編譯仿真。3、 在時(shí)序確定無(wú)誤后,選擇芯片ACEX1K EP1K10TC1003。4、 給芯片進(jìn)行管腳綁定,再次進(jìn)行編譯。5、 根據(jù)自己綁定的管腳,在實(shí)驗(yàn)箱上對(duì)ADC0809、顯示七段碼和FPGA之間進(jìn)行正確連線。6、 對(duì)選定的通道輸入一個(gè)模

22、擬量,給目標(biāo)板下載代碼,調(diào)節(jié)電位器改變輸入的模擬量,觀看實(shí)驗(yàn)結(jié)果。六、實(shí)驗(yàn)連線如果是調(diào)用的本書提供的VHDL代碼,則實(shí)驗(yàn)連線如下:Clk:時(shí)鐘輸入信號(hào),500KHz至800KHz之間均可。EOC:輸出信號(hào),接ADC0809的EOC信號(hào)。Din:數(shù)據(jù)輸入,接ADC0809的數(shù)據(jù)總線D0D7;Start:輸出信號(hào),接ADC0809的START信號(hào)。Ale:地址鎖存,接ADC0809的ALE信號(hào)。OE: 輸出允許,接ADC0809的OUTPUT ENABLE信號(hào)。 Sa、Sb、Sc:七段顯示選通信號(hào),接七段碼顯示SEL0、SEL1和SEL2。A、B、C、D、E、F、G:分別連接至七段碼顯示的a、b、

23、c、d、e、f、g。ADC0809的地址選擇信號(hào)A、B和C分別到三個(gè)撥擋開(kāi)關(guān)。通過(guò)A、B、C選取輸入通道CH0CH7其中的相應(yīng)通道輸入一個(gè)可變模擬量。七、實(shí)驗(yàn)結(jié)果八、思考題1、對(duì)于外部模擬信號(hào)Vtest范圍超出05V的情況下,應(yīng)如何修改設(shè)計(jì)和顯示模塊?2、為什么引入CLK信號(hào)?用與不用CLK信號(hào)對(duì)顯示可能產(chǎn)生什么影響?附 vhdl代碼:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;-entity adc is port( clk,eoc

24、: in std_logic; -Clock Signal din : in std_logic_vector(7 downto 0); -data bus clock,start : out std_logic; -clock of adc0809 ale : out std_logic; -ale signal of adc0809 oe : buffer std_logic; -out enable signal a,b,c,d,e,f,g : out std_logic; -7 segment driver sa,sb,sc : out std_logic); -Display Sel

25、ectend adc;-architecture behave of adc is signal dcount : std_logic_vector(2 downto 0); signal adh,adl : std_logic_vector(6 downto 0); signal display : std_logic_vector(6 downto 0); signal adcount : std_logic_vector(19 downto 0); signal din_h,din_l : std_logic_vector(3 downto 0); signal disp_flag : std_logic; begin process(clk) begin clock=clk; end process; process(clk) -accumulate adcount begin if(clkevent and clk=1) then adcount=adcount+1; end if; end process; process(clk) -start ad0809 convert begin if(clkevent and clk=1) then if(adcount=0) then ale=1; start

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論