《數(shù)字系統(tǒng)設計》實驗指導書及綜合作業(yè).doc_第1頁
《數(shù)字系統(tǒng)設計》實驗指導書及綜合作業(yè).doc_第2頁
《數(shù)字系統(tǒng)設計》實驗指導書及綜合作業(yè).doc_第3頁
《數(shù)字系統(tǒng)設計》實驗指導書及綜合作業(yè).doc_第4頁
《數(shù)字系統(tǒng)設計》實驗指導書及綜合作業(yè).doc_第5頁
已閱讀5頁,還剩5頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內容提供方,若內容存在侵權,請進行舉報或認領

文檔簡介

數(shù)字系統(tǒng)設計實驗報告班級_電信2班_姓名_潘俊俊_學號_1309121091_實驗1 組合電路的設計實驗目的:熟悉ISE的VHDL文本設計流程全過程,學習簡單組合電路的設計、多層次電路設計、仿真和硬件測試。實驗內容:首先利用ISE完成2選1多路選擇器的文本編輯輸入(mux21a.vhd)和仿真測試等步驟,給出仿真波形。實驗程序:ENTITY mux21a IS PORT (a, b, s: IN BIT;Y: OUT BIT);END ENTITY mux21a;ARCHITECTURE one OF mux21a IS BEGIN PROCESS (a, b, s)BEGIN IF s=0 THEN y=a; ELSE y=b;END IF;END PROCESS;END ARCHITECTURE one;實驗結果:1、 綜合電路圖2、 仿真時序圖實驗分析: 在0275ns內,s=0, 則輸出a波形;在275685ns內,s=1,則輸出b波形;在685ns以后,s=0, 則輸出a波形;仿真結果與實驗要求一致,故仿真結果正確。實驗2 時序電路的設計實驗目的:熟悉ISE的VHDL文本設計過程,學習簡單時序電路的設計、仿真和測試。實驗任務:設計一個帶使能輸入及同步清0的增1/減1的3位計數(shù)器,并仿真。實驗程序:Library ieee;Use ieee.std_logic_1164.all;Use ieee.std_logic_unsigned.all;Entity up_down isPort(clk,rst,en,up:instd_logic;Sum:outstd_logic_vector(2 downto 0);Cout:outstd_logic);End;Architecture a of up_down isSignal count:std_logic_vector(2 downto 0);BeginProcess(clk,rst)BeginIf rst=0 thenCount0);Elsif rising_edge(clk) thenIf en=1 thenCase up isWhen 1 = countcount=count-1;End case;End if;End if;End process;Sum=count;Cout =1 when en=1 and (up=1 and count=7) or (up=0 and count=0) else 0;End;實驗結果:1、綜合電路圖2、仿真時序圖實驗分析: 當rst=0 ,輸出被清零;否則(rst=1,en=1)當up=1時,輸出count=count+1,若up=0,輸出count=count-1。在第一段時間內,rst=0,輸出count=0;后來,第一個clk上升沿,en=1,up=1,執(zhí)行count=count+1,count從0增加到1;第二個clk上升沿,en=1,up=1,執(zhí)行count=count+1,count從0增加到1;同理計數(shù)加1,為3、4、5、6、7綜上分析,仿真結果正確。實驗3 8-3優(yōu)先編碼器的VHDL設計實驗目的:1、通過常見基本組合邏輯電路的設計,熟悉EDA設計流程。2、熟悉文本輸入及仿真步驟。3、掌握VHDL設計實體的基本結構及文字規(guī)則。4、理解硬件描述語言和具體電路的映射關系。實驗原理:表 8-3優(yōu)先編碼器真值表輸入輸出EIN0N1N2N3N4N5N6N7NA2NA1NA0NGSNEON1XXXXXXXX11111011111111111100XXXXXXX0000010XXXXXX01001010XXXXX011010010XXXX0111011010XXX01111100010XX011111101010X01111111100100111111111101實驗源程序LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY ENCODER IS PORT( D:IN STD_LOGIC_VECTOR(0 TO 7); A:OUT STD_LOGIC_VECTOR(0 TO 2) );END ;ARCHITECTURE XIANI OF ENCODER ISBEGINPROCESS(D)BEGIN IF (D(7)=0)THEN A=111; ELSIF (D(6)=0)THEN A=110; ELSIF (D(5)=0)THEN A=101; ELSIF (D(4)=0)THEN A=100; ELSIF (D(3)=0)THEN A=011; ELSIF (D(2)=0)THEN A=010; ELSIF (D(1)=0)THEN A=001; ELSIF (D(0)=0)THEN A=000; ELSE AD(6)D(5)D(4)D(3)D(2)D(1)D(0)(低電平有效)。根據(jù)程序和時序仿真圖進行分析:(高電平為“1”,低電平為“0”)在0200ns內,D(7)=0,則輸出A=111,對應十進制為7;在200400ns內,D(7)=1,D(6)=0,則輸出A=110,對應二進制為6;在400500ns內,D(7)=D(6)1,D(5)=0,則輸出A=101,對應十進制為5;在500以后,D(7)=0,則輸出A=111,對應十進制為7;仿真結果與實驗要求一致,故仿真結果正確。數(shù)字系統(tǒng)設計課程綜合作業(yè)一、設計題目1、教材P94:作業(yè)312;2、教材P230:實驗與設計81;3、設計一個8位并入串出的移位寄存器;4、設計一個計數(shù)器,計時為45分鐘;5、設計一個8位串入并出的移位寄存器;6、設計一個直流電機PWM調速控制器,5種速度可調,并能進行正反轉控制;7、教材P142:實驗與設計52;8、教材P143:實驗與設計53;9、設計一個FIFO移位寄存器;10、設計一個全

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經權益所有人同意不得將文件中的內容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
  • 6. 下載文件中如有侵權或不適當內容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論