版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)
文檔簡介
1、探探2007級學(xué)生數(shù)字通探 信原理課程設(shè)計數(shù)字通信原理課程設(shè)計報告書課題名稱2ASK調(diào)制器與解調(diào)器設(shè)計姓 名學(xué) 號院、系、部物理與電信工程系專 業(yè)通信工程指導(dǎo)教師2010年1月15日設(shè)計任務(wù)及要求設(shè)計目的熟練掌握Quartus設(shè)計軟件的使用以及VHDL這一重要的硬件描述語言。進(jìn) 一步理解2ASK信號的調(diào)制與解調(diào)。掌握利用數(shù)字信號的離散取值特點通過開關(guān) 鍵控載波實現(xiàn)數(shù)字調(diào)制的方法。設(shè)計要求利用Quartus設(shè)計軟件,編寫VHDL程序,實現(xiàn)2ASK信號的調(diào)制與解調(diào)。 并進(jìn)行仿真,分析仿真結(jié)果。指導(dǎo)教師簽名:2010年1月15日、指導(dǎo)教師評語:指導(dǎo)教師簽名:2010年1月15日二、成績驗收蓋章201
2、0年1月15日2ASK調(diào)制器與解調(diào)器設(shè)計1設(shè)計目的熟練掌握Quartus設(shè)計軟件的使用以及VHDL這一重要的硬件描述語言。進(jìn) 一步理解2ASK信號的調(diào)制與解調(diào)過程。掌握利用數(shù)字信號的離散取值特點通過 開關(guān)鍵控載波實現(xiàn)數(shù)字調(diào)制的方法。2設(shè)計原理2.1 2ASK 調(diào)制振幅鍵控是利用載波的幅度變化來傳遞數(shù)字信息,而其頻率和初始相位保持 不變。數(shù)字信號對載波振幅調(diào)制稱為振幅鍵控即ASK( Amplitude ShiftKeying )。ASK有兩種實現(xiàn)方法:鍵控法和乘法器實現(xiàn)法。鍵控法是產(chǎn)生ASK信號的一種方法。在2ASK中,載波的幅度只有兩種變化 狀態(tài),分別對應(yīng)二進(jìn)制信息“ 0”或“1”。一種常用的
3、、也是最簡單的二進(jìn)制振 幅鍵控方式稱為通-斷鍵控(OnOff Keying )。所以2ASK又稱為通斷控制(00K。 最典型的實現(xiàn)方法是用一個電鍵來控制載波振蕩器的輸出而獲得。圖1.1所示是該方法的原理框圖。圖1.1 鍵控法產(chǎn)生ASK信號原理框圖uASK(t)另一種方法是乘法器實現(xiàn)法,其輸入是隨機(jī)信息序列,經(jīng)過基帶信號形成器, 產(chǎn)生波形序列,乘法器用來進(jìn)行頻譜搬移,相乘后的信號通過帶通濾波器濾除高 頻諧波和低頻干擾。帶通濾波器的輸出是振幅鍵控信號。 乘法器常采用環(huán)形調(diào)制 器。為適應(yīng)自動發(fā)送高速數(shù)據(jù)的要求,鍵控法中的電鍵可以利用各種形式的受基 帶信號控制的電子開關(guān)來實現(xiàn),代替電鍵產(chǎn)生ASK信號,
4、圖1.2所示就是以數(shù)字 電路實現(xiàn)鍵控產(chǎn)生ASK信號的實例。該電路是用基帶信號控制與非門的開閉, 實現(xiàn)ASK調(diào)制,產(chǎn)生UASK(t)信號2.2 2ASK 解調(diào)振幅鍵控信號解調(diào)有兩種方法,即同步解調(diào)法和包絡(luò)解調(diào)法。包絡(luò)解調(diào)是 一種非相干解調(diào),原理框圖如圖1.3所示。圖1.3 ASK包絡(luò)解調(diào)原理框圖同步解調(diào)也稱相干解調(diào),UASK(t)信號經(jīng)過帶通濾波器抑制來自信道的帶外干擾,乘法器進(jìn)行頻譜反向搬移,以恢復(fù)基帶信號。低通濾波器用來抑制相乘器 產(chǎn)生的高次諧波干擾。相干解調(diào)組成方框圖如圖1.4所示圖1.4相干解調(diào)器原理框圖3設(shè)計步驟1.分析2ASK信號調(diào)制解調(diào)原理, 設(shè)計2ASK調(diào)制解調(diào)產(chǎn)生程序流程,編寫
5、實現(xiàn)2ASK調(diào)制與解調(diào)的VHDL語言程序。2. 分別對調(diào)制與解調(diào)程序進(jìn)行編譯。生成調(diào)制解調(diào)器然后進(jìn)行仿真。包括建 立波形文件、輸入信號節(jié)點、設(shè)置波形參量、編輯輸入信號、保存波形文件、運 行仿真器等過程。完成仿真后分析仿真波形并記錄。4 程序設(shè)計4.1 2ASK調(diào)制VHD程序library ieee;use ieee.std_logic_arith.all;-系統(tǒng)時鐘-開始調(diào)制信號-基帶信號-調(diào)制信號-分頻計數(shù)器-載波信號use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity PL_ASK is port(clk:
6、in std_logic;start:in std_logic;x:in std_logic;y:out std_logic);end PL_ASK;architecture behav of PL_ASK is signal q:integer range 0 to 3; signal f :std_logic;begin process(clk)begin if clkevent and clk=1 thenif start=0 then q=0;elsif q=1 then f=1;q=q+1; - 改變 q 后面數(shù)字的大小,就可以改變載波信號的占空比elsif q=3 then f=0
7、;q=0;-改變 q 后面數(shù)字的大小,則改變載波信號的頻率else f=0;q=q+1;end if;end if;end process; y=x and f; end behav;-對基帶碼進(jìn)行調(diào)制4.2 2ASK解調(diào)VHD程序library ieee;use ieee.std_logic_arith.all;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity PL_ASK2 isport(clk:in std_logic;start:in std_logic;x:in std_logic;y:out std
8、_logic);end PL_ASK2;architecture behav of PL_ASK2 is signal q:integer range 0 to 11; signal xx:std_logic;signal m:integer range 0 to 5; begin process(clk) beginif clkevent and clk=1 then xx=x; if start=0 then q=0; elsif q=11 then q=0;-系統(tǒng)時鐘-同步信號-調(diào)制信號-基帶信號-計數(shù)器-寄存 x 信號- 計 xx 的脈沖數(shù)-對系統(tǒng)時鐘進(jìn)行 q 分頻-clk 上升沿時,
9、把 x 信號賦給中間信號-if 語句完成 q 的循環(huán)計數(shù)xxelse q=q+1;end if;end if;process(xx,q)-此進(jìn)程完成ASK解調(diào)end process;if q=11 the n m=0;-m計數(shù)器清零beginif m=3 then y=0;-if語句通過對 m大小,來判決y輸出的電平elsif q=10 thenelse y=1:end if;elsif xxevent and xx=1then m=m+1;-計 xx 信號的脈沖個數(shù)end if;end process;end behav;5仿真圖及分析5.1 2ASK調(diào)制VHD仿真圖如圖5.1及局部放大圖5
10、.2Ma:rLelkstart當(dāng)i0L1-a 丫0前qH3al io JIft5.Ous13jOus 15.Ous 20 Ous 2f.Ouj 3O.Cki5 :50us 4Cij0us45.Ous5(I1Lii1IHMIMlMNHINIMMinMIHIinHHmi0I1 1 0WIIBL1 jU Tr it h i I r m inr i ir o 11 n nrn r nr i irrii nrrj 11r m 1 ri v r Tirnr u u 11 11 rr i rpitt n r i it 11 rr 1 rri i ri TririTnr r i it ii ri rnjTnT
11、rri lllllllllll細(xì)mMllMIIIIIIIIIIIIII川IIIHIMIIIIIIIIIIIIIIIIIIIHIIIIIHIIIIIIII lllll咆 |仙1111111111111帥|11111111帥11呱|111|1111|1111|1川|11111111|11111|111| 】_.!_!】口】11_1】_11_!_!UJj/mUJJ._U._!_J -LLl圖5.1 2ASK 調(diào)制 VHDL仿真圖N 利r 職vlul 500. Ong I.Uus2JJus 2-ug3. Huis3.6 us4. Dub 4,&js S.OlLig 5.6us6.1匚IkJI1.1MJ
12、rLT aiTTLrLnRaiTomnnnjTi出(T1start高電千時*連燉iSKiS制010i-i-1 1 L1 :砂q1-3LCDSd fJ11 I I11I 11 I I裁潮星系統(tǒng)丼鐘疑過中諭 駅到的Q注:a.基帶碼長等于載波f的6個周期。b.輸岀的調(diào)制信號y滯后于輸入基帶信號x 一個elk時間。圖5.2 2ASK調(diào)制仿真局部放大圖5.2 2ASK解調(diào)VHD!仿真圖如圖5.3及局部放大圖5.4idkM sta41 Ojs2.0lJ3SjOus4.0us5.Dus.*aIiri刪niinnilawHIiniIMHlinn當(dāng)抽ti為高電平時,譴行厝離調(diào)JWW_WME)7DU0J 01Iu
13、wii_WL_o a 1 a o iMWWWWWWMWWMmmw圖5.3 2ASK 解調(diào)VHDL仿真圖Name; cl10( I ns 200 Qns 30D Ors ZCODns 50D Uns 60D On?ildd?0ll Ins StLrLrLTLrLrLrLrLn rLnLrLrLr當(dāng)atort*電平時”進(jìn)行吧I總調(diào)010 frstarlD7DOll注:a.在q=11時,m清零。b.在q=10時,根據(jù)m的大小,進(jìn)行對輸出基帶信號y的電平的判決。e.在q為其它時,m計xx ( x信號的寄存器)的脈沖數(shù)。d.輸出的基帶信號y滯后輸入的調(diào)制信號x 10個elk圖5.4 2ASK解調(diào)仿真局部
14、放大圖5.3 仿真結(jié)果分析從調(diào)制仿真圖中可以看到數(shù)字基帶信號對載波進(jìn)行調(diào)制,載波頻率和初始相 位保持不變。符號“ 1”和“0”分別表示有電壓和沒有電壓。并且調(diào)制后的信號 滯后于輸入基帶信號一個 clk 時間。從解調(diào)仿真圖可以看到, 已調(diào)信號經(jīng)解調(diào)后 還原成基帶信號。已調(diào)信號有電壓時解調(diào)為“ 1”,沒有信號時解調(diào)為“ 0”。且滯 后輸入的調(diào)制信號 10 個 clk 時間。6 設(shè)計總結(jié)通過這次通信原理課程設(shè)計實驗, 我進(jìn)一步掌握了 Quartus 設(shè)計仿真軟件以 及VHDL硬件描述語言的使用方法。理解了 2ASK信號的調(diào)制與解調(diào)以及其硬件實 現(xiàn)過程。 加深了對通信原理這門課程的認(rèn)識。 通過此次課程設(shè)計, 認(rèn)識到課堂上 學(xué)到的知識只有經(jīng)過實踐, 才能掌握和利用, 體現(xiàn)出它的價值。 也讓我明白了動 手能力培養(yǎng)的重要性。 此外,在本次課程設(shè)計中, 我也深深認(rèn)識到掌握一種專業(yè) 仿真軟件的使用是大學(xué)生應(yīng)該具備的重要技能。7 心得與體會在設(shè)計過程中, 獲得的最大體會是學(xué)習(xí)需要課外知識的補(bǔ)充。 查閱課外有 關(guān)資料是非常必要的。 與此同時, 遇到問題自己找方法解決, 鍛煉了自己解決實 際問題的能力; 不懂的地方向老師同學(xué)虛心請教。 設(shè)計過程中老師和同學(xué)的幫助 讓我獲益匪淺。也認(rèn)識到動手操作能力和掌握一門專業(yè)仿真
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 光的折射、透鏡成象的課件其它
- 贛南師范大學(xué)科技學(xué)院《行政訴訟法》2023-2024學(xué)年第一學(xué)期期末試卷
- 贛南科技學(xué)院《職業(yè)生涯發(fā)展和就業(yè)指導(dǎo)Ⅲ》2023-2024學(xué)年第一學(xué)期期末試卷
- 贛東學(xué)院《機(jī)械設(shè)備故障診斷》2023-2024學(xué)年第一學(xué)期期末試卷
- 甘肅中醫(yī)藥大學(xué)《醫(yī)學(xué)實驗技術(shù)導(dǎo)論》2023-2024學(xué)年第一學(xué)期期末試卷
- 贛南科技學(xué)院《福利經(jīng)濟(jì)學(xué)》2023-2024學(xué)年第一學(xué)期期末試卷
- 2022年上海財經(jīng)大學(xué)國際教育學(xué)院自考英語(二)練習(xí)題(附答案解析)
- 七年級科學(xué)上冊8.1溶液的形成8.1.2水以外的溶劑學(xué)案無答案牛津上海版
- 三年級數(shù)學(xué)下冊二圖形的運動第1課時軸對稱一教案北師大版
- 冬季行車安全培訓(xùn)課件
- 2024年度科研機(jī)構(gòu)實驗技術(shù)人員勞務(wù)派遣合作框架
- 2023年中職《計算機(jī)網(wǎng)絡(luò)技術(shù)》秋季學(xué)期期末考試試卷(附答案)
- 法治副校長進(jìn)校園教育
- 北京市石景山區(qū)2023-2024學(xué)年七年級上學(xué)期期末考試數(shù)學(xué)試卷(含答案)
- 2025版寒假特色作業(yè)
- 江西省吉安市2023-2024學(xué)年高一上學(xué)期1月期末考試政治試題(解析版)
- 國內(nèi)外航空安全形勢
- 零售業(yè)發(fā)展現(xiàn)狀與面臨的挑戰(zhàn)
- 2024年版汽車4S店商用物業(yè)租賃協(xié)議版B版
- 《微觀經(jīng)濟(jì)學(xué)》習(xí)題(含選擇題)
- 微信小程序云開發(fā)(赤峰應(yīng)用技術(shù)職業(yè)學(xué)院)知到智慧樹答案
評論
0/150
提交評論