![《EDA設(shè)計(jì)報(bào)告》word版_第1頁](http://file4.renrendoc.com/view/2b0b3a1833201af034b627e11f5f3848/2b0b3a1833201af034b627e11f5f38481.gif)
![《EDA設(shè)計(jì)報(bào)告》word版_第2頁](http://file4.renrendoc.com/view/2b0b3a1833201af034b627e11f5f3848/2b0b3a1833201af034b627e11f5f38482.gif)
![《EDA設(shè)計(jì)報(bào)告》word版_第3頁](http://file4.renrendoc.com/view/2b0b3a1833201af034b627e11f5f3848/2b0b3a1833201af034b627e11f5f38483.gif)
![《EDA設(shè)計(jì)報(bào)告》word版_第4頁](http://file4.renrendoc.com/view/2b0b3a1833201af034b627e11f5f3848/2b0b3a1833201af034b627e11f5f38484.gif)
![《EDA設(shè)計(jì)報(bào)告》word版_第5頁](http://file4.renrendoc.com/view/2b0b3a1833201af034b627e11f5f3848/2b0b3a1833201af034b627e11f5f38485.gif)
版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)
文檔簡介
1、Hefei University課程名稱 在系統(tǒng)編程技術(shù) 任課教師 康南生 設(shè)計(jì)題目 數(shù)字鐘 班級(jí) 08自動(dòng)化(3)班 姓名 鮑旭 學(xué)號(hào) 0805070284 日期 目錄 TOC o 1-3 h z u HYPERLINK l _Toc296204141 引 言 PAGEREF _Toc296204141 h 3 HYPERLINK l _Toc296204142 課題的背景、目的 PAGEREF _Toc296204142 h 3 HYPERLINK l _Toc296204143 一、題目分析 PAGEREF _Toc296204143 h 4 HYPERLINK l _Toc296204
2、144 1、分析設(shè)計(jì)要求 PAGEREF _Toc296204144 h 4 HYPERLINK l _Toc296204145 2、總體方框圖 PAGEREF _Toc296204145 h 4 HYPERLINK l _Toc296204146 3、數(shù)字鐘的工作原理 PAGEREF _Toc296204146 h 4 HYPERLINK l _Toc296204147 二、選擇方案 PAGEREF _Toc296204147 h 6 HYPERLINK l _Toc296204148 1、方案選擇及設(shè)計(jì)規(guī)劃 PAGEREF _Toc296204148 h 6 HYPERLINK l _To
3、c296204149 2、系統(tǒng)頂層圖的設(shè)計(jì) PAGEREF _Toc296204149 h 6 HYPERLINK l _Toc296204150 三、時(shí)、分、秒計(jì)數(shù)器電路 PAGEREF _Toc296204150 h 7 HYPERLINK l _Toc296204151 1、原理 PAGEREF _Toc296204151 h 7 HYPERLINK l _Toc296204152 2、時(shí)、分、秒電路部分代碼 PAGEREF _Toc296204152 h 8 HYPERLINK l _Toc296204153 四、編寫應(yīng)用程序并仿真 PAGEREF _Toc296204153 h 11
4、 HYPERLINK l _Toc296204154 1、秒計(jì)數(shù)器 PAGEREF _Toc296204154 h 11 HYPERLINK l _Toc296204155 2、分鐘計(jì)數(shù)器 PAGEREF _Toc296204155 h 12 HYPERLINK l _Toc296204156 3、小時(shí)計(jì)數(shù)器 PAGEREF _Toc296204156 h 12 HYPERLINK l _Toc296204157 4、整點(diǎn)報(bào)時(shí)報(bào)警模塊 PAGEREF _Toc296204157 h 12 HYPERLINK l _Toc296204158 五、硬件測試及說明 PAGEREF _Toc29620
5、4158 h 13 HYPERLINK l _Toc296204159 1、數(shù)字時(shí)鐘系統(tǒng)采用模式7進(jìn)行硬件測試 PAGEREF _Toc296204159 h 13 HYPERLINK l _Toc296204160 2、引腳鎖定情況 PAGEREF _Toc296204160 h 13 HYPERLINK l _Toc296204161 3、硬件測試過程與結(jié)果分析 PAGEREF _Toc296204161 h 15 HYPERLINK l _Toc296204162 六、實(shí)驗(yàn)總結(jié) PAGEREF _Toc296204162 h 16 HYPERLINK l _Toc296204163 七、
6、參考文獻(xiàn)目錄 PAGEREF _Toc296204163 h 16引 言隨著社會(huì)的發(fā)展,科學(xué)技術(shù)也在不斷的進(jìn)步。特別是計(jì)算機(jī)產(chǎn)業(yè),可以說是日新月異,數(shù)字鐘作為計(jì)算機(jī)的一個(gè)組成也隨之逐漸進(jìn)入人們的生活,從先前的采用半導(dǎo)體技術(shù)實(shí)現(xiàn)的數(shù)字鐘到現(xiàn)在廣泛應(yīng)用的采用高集成度芯片實(shí)現(xiàn)的數(shù)字鐘。數(shù)字鐘正在向著功能強(qiáng),體積小,重量輕等方向不斷發(fā)展,本設(shè)計(jì)主要介紹的是一個(gè)基于超高速硬件描述語言VHDL對(duì)數(shù)字鐘中顯示電路進(jìn)行編程實(shí)現(xiàn)。近年來,集成電路和計(jì)算機(jī)應(yīng)用得到了高速發(fā)展,現(xiàn)代電子設(shè)計(jì)技術(shù)已邁入一個(gè)嶄新的階段,具體表現(xiàn)在:(1)電子器件及其技術(shù)的發(fā)展將更多地趨向于為EDA服務(wù);(2)硬件電路與軟件設(shè)計(jì)過程已高度
7、滲透;(3)電子設(shè)計(jì)技術(shù)將歸結(jié)為更加標(biāo)準(zhǔn)、規(guī)范的EDA工具和硬件描述語言VHDL的運(yùn)用;(4)數(shù)字系統(tǒng)的芯片化實(shí)現(xiàn)手段已成主流。因此利用計(jì)算機(jī)和大規(guī)模復(fù)雜可編程邏輯器件進(jìn)行現(xiàn)代電子系統(tǒng)設(shè)計(jì)已成為電子工程類技術(shù)人員必不可少的基本技能之一。 課題的背景、目的本次設(shè)計(jì)的目的就是在掌握EDA實(shí)驗(yàn)開發(fā)系統(tǒng)的初步使用基礎(chǔ)上,了解EDA技術(shù),加深對(duì)計(jì)算機(jī)體系結(jié)構(gòu)的理解。通過學(xué)習(xí)的VHDL語言結(jié)合電子電路的設(shè)計(jì)知識(shí)理論聯(lián)系實(shí)際,掌握所學(xué)的課程知識(shí),學(xué)習(xí)VHDL基本單元電路的綜合設(shè)計(jì)應(yīng)用。通過對(duì)實(shí)用數(shù)字鐘的設(shè)計(jì),鞏固和綜合運(yùn)用計(jì)算機(jī)原理的基本理論和方法,理論聯(lián)系實(shí)際,提高IC設(shè)計(jì)能力,提高分析、解決計(jì)算機(jī)技術(shù)實(shí)
8、際問題的獨(dú)立工作能力。通過課程設(shè)計(jì)深入理解計(jì)算機(jī)的組成原理,達(dá)到課程設(shè)計(jì)的目標(biāo)。 一、題目分析1、分析設(shè)計(jì)要求 (數(shù)字時(shí)鐘的功能)1)具有時(shí)、分、秒計(jì)數(shù)顯示功能,以24小時(shí)循環(huán)計(jì)時(shí)。2)具有調(diào)節(jié)小時(shí)、分鐘及清零的功能。3)具有整點(diǎn)報(bào)時(shí)功能。4)時(shí)鐘計(jì)數(shù)顯示時(shí)有LED燈的花樣顯示。2、總體方框圖數(shù)字時(shí)鐘小時(shí)計(jì)數(shù)顯示功能模塊分鐘計(jì)數(shù)顯示功能模塊秒鐘計(jì)數(shù)顯示功能模塊整點(diǎn)報(bào)時(shí)功能模塊3、數(shù)字鐘的工作原理 數(shù)字鐘是一個(gè)將“ 時(shí)”,“分”,“秒”顯示于人的視覺器官的計(jì)時(shí)裝置。它的計(jì)時(shí)周期為24小時(shí),顯示滿刻度為23時(shí)59分59秒,另外應(yīng)有校時(shí)功能和一些顯示星期、報(bào)時(shí)、停電查看時(shí)間等附加功能。因此,一個(gè)基本
9、的數(shù)字鐘電路主要由譯碼顯示器、“時(shí)”,“分”,“秒”,“星期”計(jì)數(shù)器、校時(shí)電路、報(bào)時(shí)電路和振蕩器組成。干電路系統(tǒng)由秒信號(hào)發(fā)生器、“時(shí)、分、秒、星期”計(jì)數(shù)器、譯碼器及顯示器、校時(shí)電路、整點(diǎn)報(bào)時(shí)電路組成。秒信號(hào)產(chǎn)生器是整個(gè)系統(tǒng)的時(shí)基信號(hào),它直接決定計(jì)時(shí)系統(tǒng)的精度,一般用石英晶體振蕩器加分頻器來實(shí)現(xiàn)。將標(biāo)準(zhǔn)秒信號(hào)送入“秒計(jì)數(shù)器”,“秒計(jì)數(shù)器”采用60進(jìn)制計(jì)數(shù)器,每累計(jì)60秒發(fā)出一個(gè)“分脈沖”信號(hào),該信號(hào)將作為“分計(jì)數(shù)器”的時(shí)鐘脈沖?!胺钟?jì)數(shù)器”也采用60進(jìn)制計(jì)數(shù)器,每累計(jì)60分鐘,發(fā)出一個(gè)“時(shí)脈沖”信號(hào),該信號(hào)將被送到“時(shí)計(jì)數(shù)器”?!皶r(shí)計(jì)數(shù)器”采用24進(jìn)制計(jì)時(shí)器,可實(shí)現(xiàn)對(duì)一天24小時(shí)的累計(jì)。每累計(jì)2
10、4小時(shí),發(fā)出一個(gè)“星期脈沖”信號(hào),該信號(hào)將被送到“星期計(jì)數(shù)器”,“星期計(jì)數(shù)器” 采用7進(jìn)制計(jì)時(shí)器,可實(shí)現(xiàn)對(duì)一周7天的累計(jì)。工作原理圖如圖所示。 數(shù)字鐘的工作原理圖二、選擇方案1、方案選擇及設(shè)計(jì)規(guī)劃根據(jù)總體方框圖及各部分分配的功能可知,本系統(tǒng)可以由四個(gè)子模塊(即秒計(jì)數(shù)器、分鐘計(jì)數(shù)器、小時(shí)計(jì)數(shù)器、整點(diǎn)報(bào)時(shí))和一個(gè)頂層文件構(gòu)成。采用自頂向下的設(shè)計(jì)方法,子模塊利用VHDL語言設(shè)計(jì),頂層文件用原理圖的設(shè)計(jì)方法。2、系統(tǒng)頂層圖的設(shè)計(jì)系統(tǒng)頂層設(shè)計(jì)圖如上所示,由圖知:1)對(duì)外端口引腳名稱:輸入:clk,reset,setmin,sethour;輸出:speaker,hour5.0,minute6.0,seco
11、nd6.0,lamp3.0。2)底層文件名稱: 秒計(jì)時(shí)器(second)是由一個(gè)60進(jìn)制的計(jì)數(shù)器構(gòu)成的,具有清0、置數(shù)和計(jì)數(shù)功能。其中reset為清0信號(hào),當(dāng)reset為0時(shí),秒計(jì)時(shí)器清0;clk為系統(tǒng)時(shí)鐘信號(hào);setmin是分鐘的設(shè)置信號(hào);enmin為秒計(jì)時(shí)器的進(jìn)位信號(hào),作為下一級(jí)的輸入信號(hào);daout是秒計(jì)數(shù)值。分計(jì)時(shí)器(minute)是由一個(gè)60進(jìn)制的計(jì)數(shù)器構(gòu)成的,具有清0、置數(shù)和計(jì)數(shù)功能。其中reset為清0信號(hào),當(dāng)reset為0時(shí),分計(jì)時(shí)器清0;clk為系統(tǒng)時(shí)鐘信號(hào);clk1為設(shè)置時(shí)鐘信號(hào);sethour時(shí)設(shè)置信號(hào);enhour為分計(jì)時(shí)器的進(jìn)位信號(hào),作為下一級(jí)的輸入信號(hào)。 時(shí)計(jì)時(shí)器(
12、hour)是由一個(gè)24進(jìn)制的計(jì)數(shù)器構(gòu)成的,具有清0、置數(shù)和計(jì)數(shù)功能。其中reset為清0信號(hào),當(dāng)reset為0時(shí),時(shí)計(jì)時(shí)器清0;clk為時(shí)計(jì)數(shù)時(shí)鐘信號(hào);daout為時(shí)計(jì)數(shù)值。報(bào)時(shí)模塊(alarm)的功能是整點(diǎn)時(shí),報(bào)時(shí)持續(xù)1分鐘,dain為分計(jì)數(shù)值;speak為蜂鳴器報(bào)警;lamp為花樣顯示燈。3) 實(shí)驗(yàn)連線:reset、setmin、hour連接撥動(dòng)開關(guān);計(jì)數(shù)時(shí)鐘信號(hào)clk接1HZ/4HZ時(shí)鐘信號(hào);speak與揚(yáng)聲器驅(qū)動(dòng)speaker相連;lamp與三個(gè)發(fā)光二極管相連;hour5.0、minute6.0、second6.0分別與七段LED數(shù)碼管相連。三、時(shí)、分、秒計(jì)數(shù)器電路1、原理時(shí)、分、秒計(jì)
13、數(shù)器電路有相似的地方,用兩個(gè)74LS161組成一個(gè)二十四進(jìn)制計(jì)數(shù)器,顯示023時(shí)。由分計(jì)數(shù)器送來的進(jìn)位脈沖送入時(shí)個(gè)位計(jì)數(shù)器,計(jì)10小時(shí)清零并向時(shí)十位計(jì)數(shù)器送進(jìn)位脈沖,當(dāng)十位輸出為二,個(gè)位輸出為四時(shí)將整個(gè)電路清零并向下一級(jí)的星期顯示電路送進(jìn)位脈沖。本電路也可理解為用兩個(gè)74LS161組成一個(gè)一百進(jìn)制計(jì)數(shù)器顯示099,當(dāng)計(jì)數(shù)到24是將整個(gè)電路清零。時(shí)、分、秒計(jì)數(shù)器都需要用譯碼電路和LED數(shù)碼管進(jìn)行譯碼和顯示。時(shí)、分、秒計(jì)數(shù)器電路如圖所示。時(shí)、分、秒計(jì)數(shù)器電路 2、時(shí)、分、秒電路部分代碼library ieee; use ieee.std_logic_1164.all; use ieee.std_l
14、ogic_unsigned.all; entity second is port(clk:in std_logic; reset:in std_logic; setmin:in std_logic; enmin:out std_logic; daout:out std_logic_vector(6 downto 0); end entity second; architecture art of second is signal count:std_logic_vector(6 downto 0); signal enmin_1,enmin_2:std_logic;begindaout=cou
15、nt;enmin_2=(setmin and clk);enmin=(enmin_1 or enmin_2);process(clk,reset,setmin)begin if(reset=0)then count=0000000;enmin_1=0;elsif(clkevent and clk=1)then if(count(3 downto 0)=1001) then if(count16#60#)then if(count=101101)then enmin_1=1;count=0000000; else count=count+7; end if; else count=0000000
16、;end if; elsif (count16#60#)then count=count+1; enmin_1=0; else count=0000000;enmin_1=0; end if; end if;end process;end art; 數(shù)字鐘控制電路顯示電路小時(shí)分鐘秒鐘調(diào)分24進(jìn)制計(jì)數(shù)60進(jìn)制計(jì)數(shù)60進(jìn)制計(jì)數(shù)調(diào)時(shí)整點(diǎn)報(bào)時(shí)四、編寫應(yīng)用程序并仿真1、秒計(jì)數(shù)器(文件名:second.vhd)1)VHDL語言描述程序見附錄2)秒計(jì)數(shù)器的仿真波形圖3)波形分析由仿真波形圖知秒計(jì)數(shù)模塊功能是利用60進(jìn)制計(jì)數(shù)器完成00到59的循環(huán)計(jì)數(shù)功能,當(dāng)秒計(jì)數(shù)至59時(shí),再來一個(gè)時(shí)鐘脈沖則產(chǎn)生進(jìn)位輸出,即e
17、nmin=1;reset作為復(fù)位信號(hào)低電平有效,即高電平時(shí)正常循環(huán)計(jì)數(shù),低電平清零。2、分鐘計(jì)數(shù)器(文件名:minute.vhd)1)VHDL語言描述程序見附錄2)分鐘計(jì)數(shù)器的仿真波形圖3)波形分析由波形圖可知該模塊實(shí)現(xiàn)了分鐘計(jì)數(shù)的功能,調(diào)時(shí)信號(hào)sethour高電平有效。計(jì)數(shù)循環(huán)從00到59.3、小時(shí)計(jì)數(shù)器(文件名:hour.vhd)1)VHDL語言描述程序見附錄2)小時(shí)計(jì)數(shù)器的仿真波形圖3)波形分析小時(shí)計(jì)數(shù)模塊利用24進(jìn)制計(jì)數(shù)器,通過分鐘的進(jìn)位信號(hào)的輸入可實(shí)現(xiàn)從00到23的循環(huán)計(jì)數(shù)。4、整點(diǎn)報(bào)時(shí)報(bào)警模塊(文件名:alert.vhd) 1)VHDL語言描述程序見附錄2)整點(diǎn)報(bào)時(shí)模塊仿真波形圖3
18、)波形分析由圖知對(duì)于整點(diǎn)報(bào)時(shí)模塊,當(dāng)分鐘計(jì)數(shù)至59時(shí)來一個(gè)時(shí)鐘脈沖則產(chǎn)生一個(gè)進(jìn)位信號(hào),分鐘計(jì)數(shù)到00,此時(shí)產(chǎn)生報(bào)警信號(hào)持續(xù)一分鐘。當(dāng)有時(shí)鐘脈沖時(shí)lamp顯示燈就閃爍輪續(xù)點(diǎn)亮。五、硬件測試及說明1、數(shù)字時(shí)鐘系統(tǒng)采用模式7進(jìn)行硬件測試2、引腳鎖定情況信號(hào)名引腳號(hào)按鍵及顯示clkPIN_93內(nèi)部時(shí)鐘信號(hào)clock0 1HZhour0PIN_73LED8hour 1PIN_74hour 2PIN_75hour 3PIN_76hour 4PIN_77LED7hour 5PIN_78min0PIN_51LED5min 1PIN_52min 2PIN_67min 3PIN_68min 4PIN_69LED4
19、min 5PIN_70min 6PIN_71second0PIN_39LED2second 1PIN_40second 2PIN_41second 3PIN_42second 4PIN_47LED1second 5PIN_48second 6PIN_49lamp0PIN_103D6lamp1PIN_105D7lamp3PIN_106D8sethourPIN_7鍵7setmin PIN_4鍵4speakerPIN_129蜂鳴器SPEAKERresetPIN_10鍵83、硬件測試過程與結(jié)果分析1)硬件測試:實(shí)驗(yàn)硬件 GW48實(shí)驗(yàn)系統(tǒng) cyclone EP1C3T144C8測試模式 NO.7測試方式
20、clock選用clock0,短路帽選4HZ; 鍵8控制reset,鍵7控制sethour,鍵4控制setminute, D8、D7、D6顯示花樣燈lamp; 數(shù)碼管8、7用作小時(shí)顯示,高位是小時(shí)的十位,低位是小時(shí)的個(gè)位; 數(shù)碼管5、4用作分鐘顯示,高位是分鐘的十位,低位是分鐘的個(gè)位; 數(shù)碼管2、1用作秒鐘顯示,高位是秒鐘的十位,低位是秒鐘的個(gè)位;測試過程 (燈亮為高電平,燈滅為低電平) reset低電平清零復(fù)位,按鍵8使燈亮則時(shí)鐘開始從00-00-00計(jì)時(shí),秒鐘計(jì)時(shí)到59時(shí)向分鐘進(jìn)1,分鐘計(jì)時(shí)到59時(shí)向小時(shí)進(jìn)1; 鍵7用做調(diào)小時(shí)每來一個(gè)脈沖調(diào)一次調(diào)節(jié)范圍00-23; 鍵4用做調(diào)分鐘每來一個(gè)脈沖調(diào)一次調(diào)節(jié)范圍0059; lamp燈顯的三個(gè)燈輪回亮; 當(dāng)時(shí)鐘為00則蜂鳴器開始整點(diǎn)報(bào)時(shí)一分鐘。2)結(jié)果分析:由測試過程及結(jié)果可知所設(shè)計(jì)的數(shù)
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。
最新文檔
- 2025年度新能源汽車充電設(shè)施合同作廢聲明范本全文預(yù)覽
- 2025年度企業(yè)內(nèi)部控制與合規(guī)咨詢合同
- 2025年度跨境電商融資合同履約保證金規(guī)定
- 2025年度股權(quán)質(zhì)押合同風(fēng)險(xiǎn)評(píng)估與咨詢合同
- 2025年度個(gè)人租房合同終止通知證明
- 2025年度進(jìn)出口紡織品質(zhì)量檢測與認(rèn)證服務(wù)合同范本
- 2025年度智能倉儲(chǔ)管理系統(tǒng)租賃合同
- 2025年度客棧餐飲服務(wù)合作協(xié)議書范本
- 2025年度可再生能源利用合同能源管理項(xiàng)目節(jié)能改造合同
- 2025年度紅酒年份酒產(chǎn)區(qū)特色民宿運(yùn)營管理合同
- 英語主語從句省公開課一等獎(jiǎng)全國示范課微課金獎(jiǎng)?wù)n件
- 上海天文館分析
- 中醫(yī)睡眠養(yǎng)生中心方案
- 生活中的邏輯學(xué)
- 大學(xué)生返家鄉(xiāng)社會(huì)實(shí)踐報(bào)告
- 初中生物中考真題(合集)含答案
- 《醫(yī)學(xué)免疫學(xué)實(shí)驗(yàn)》課件
- C139客戶開發(fā)管理模型
- GB/T 5019.5-2023以云母為基的絕緣材料第5部分:電熱設(shè)備用硬質(zhì)云母板
- 《工傷保險(xiǎn)專題》課件
- 2024年農(nóng)發(fā)集團(tuán)招聘筆試參考題庫含答案解析
評(píng)論
0/150
提交評(píng)論