畢業(yè)論文基于PLC的10層電梯控制設(shè)計(jì)_第1頁
畢業(yè)論文基于PLC的10層電梯控制設(shè)計(jì)_第2頁
畢業(yè)論文基于PLC的10層電梯控制設(shè)計(jì)_第3頁
畢業(yè)論文基于PLC的10層電梯控制設(shè)計(jì)_第4頁
畢業(yè)論文基于PLC的10層電梯控制設(shè)計(jì)_第5頁
已閱讀5頁,還剩35頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、第1章 EDA技術(shù)隨著大規(guī)模集成電路技術(shù)和計(jì)算機(jī)技術(shù)的不斷發(fā)展,在涉及通信、國防、航天、醫(yī)學(xué)、工業(yè)自動(dòng)化、計(jì)算機(jī)應(yīng)用、儀器儀表等領(lǐng)域的電子系統(tǒng)設(shè)計(jì)工作中,EDA技術(shù)的含量正以驚人的速度上升,電子類高新技術(shù)項(xiàng)目的開發(fā)也更加依賴于EDA技術(shù)的應(yīng)用。即使是普通的電子產(chǎn)品的開發(fā),EDA技術(shù)常常使一些原來的技術(shù)瓶頸得以輕松突破,從而使產(chǎn)品的開發(fā)周期大為縮短、性能價(jià)格比大幅提高。不言而喻,EDA技術(shù)將迅速成為電子設(shè)計(jì)領(lǐng)域中的極其重要的組成部分。1.1 EDA技術(shù)的含義及特點(diǎn)EDA(Electronic Design Automation,電子系統(tǒng)設(shè)計(jì)自動(dòng)化)技術(shù)是20世紀(jì)90年代初從CAD(計(jì)算機(jī)輔助設(shè)計(jì)

2、),CAM(計(jì)算機(jī)輔助制造),CAT(計(jì)算機(jī)輔助測試)和CAE(計(jì)算機(jī)輔助工程)的概念發(fā)展而來的。現(xiàn)代EDA技術(shù)就是以計(jì)算機(jī)為工具,在EDA軟件平臺(tái)上,根據(jù)硬件描述語言HDL完成的設(shè)計(jì)文件,能自動(dòng)地完成用軟件方式描述的電子系統(tǒng)到硬件系統(tǒng)的邏輯編譯、邏輯化簡、邏輯分割、邏輯綜合及優(yōu)化、布局布線、邏輯仿真,直至完成對(duì)于特定目標(biāo)芯片的適配編譯、邏輯映射和編程下載等工作。設(shè)計(jì)者的工作僅限于利用軟件的方式來完成對(duì)系統(tǒng)硬件功能的描述,在EDA工具的幫助下和應(yīng)用相應(yīng)的FPGA/CPLD器件,就可以得到最后的設(shè)計(jì)結(jié)果。盡管目標(biāo)系統(tǒng)是硬件,但整個(gè)設(shè)計(jì)和修改過程如同完成軟件設(shè)計(jì)一樣方便和高效。3 可見,利用EDA

3、技術(shù)進(jìn)行電子系統(tǒng)的設(shè)計(jì)具有以下幾個(gè)特點(diǎn):一是用軟件的方式設(shè)計(jì)硬件;二是用軟件方式設(shè)計(jì)的系統(tǒng)到硬件系統(tǒng)的轉(zhuǎn)換是由有關(guān)的開發(fā)軟件自動(dòng)完成的;三是采用自頂向下(top-down)的設(shè)計(jì)方法;四是設(shè)計(jì)過程中可用有關(guān)軟件進(jìn)行各種仿真;五是系統(tǒng)可現(xiàn)場編程,在線升級(jí);六是整個(gè)系統(tǒng)可集成在一個(gè)芯片上,體積小、功耗低、可靠性高。因此,EDA代表了當(dāng)今電子設(shè)計(jì)技術(shù)的最新發(fā)展方向。1.2 EDA技術(shù)的主要內(nèi)容EDA技術(shù)涉及面很廣,內(nèi)容豐富,從教學(xué)和實(shí)用的角度看,主要應(yīng)掌握如下個(gè)4個(gè)方面的內(nèi)容:一是大規(guī)模可編程邏輯器件;二是硬件描述語言;三是軟件開發(fā)工具;四是實(shí)驗(yàn)開發(fā)系統(tǒng)。其中,大規(guī)模可編程邏輯器件是利用EDA技術(shù)進(jìn)

4、行電子系統(tǒng)設(shè)計(jì)的載體,硬件描述語言是利用EDA技術(shù)進(jìn)行電子系統(tǒng)設(shè)計(jì)的主要表達(dá)手段,軟件開發(fā)工具是利用EDA技術(shù)進(jìn)行電子系統(tǒng)設(shè)計(jì)的智能化的自動(dòng)設(shè)計(jì)工具,實(shí)驗(yàn)開發(fā)系統(tǒng)則是利用EDA技術(shù)進(jìn)行電子系統(tǒng)設(shè)計(jì)的下載工具及硬件驗(yàn)證工具。1.3 FPGA、VHDL語言FPGA是英文Field Programmable Gate Array的縮寫,即現(xiàn)場可編程門陣列,它是在PAL、GAL、EPLD等可編程器件的基礎(chǔ)上進(jìn)一步發(fā)展的產(chǎn)物。它是作為專用集成電路(ASIC)領(lǐng)域中的一種半定制電路而出現(xiàn)的,既解決了定制電路的不足,又克服了原有可編程器件門電路數(shù)有限的缺點(diǎn)。FPGA采用了邏輯單元陣列(LOA,Logic C

5、ell Array)這樣一個(gè)新概念,內(nèi)部包括可配置邏輯模塊(CLB,Configurable Logic Block)、輸入輸出模塊(IOB,Input Output Block)和內(nèi)部連線(Interconnect)三個(gè)部分。FPGA的基本特點(diǎn)主要有:(1)采用FPGA設(shè)計(jì)ASIC電路,用戶不需要投片生產(chǎn)就能得到合用的芯片;(2)FPGA可做其他全定制或半定制ASIC電路的試樣片:(3)FPGA內(nèi)部有豐富的觸發(fā)器和IO引腳;(4)FPGA是ASIC電路中設(shè)計(jì)周期最短、開發(fā)費(fèi)用最低、風(fēng)險(xiǎn)最小的器件之一;(5)FPGA采用高速CMOS工藝,功耗低,可以與CMOS、TTL電平兼容??梢哉f,F(xiàn)PGA

6、芯片是小批量系統(tǒng)提高系統(tǒng)集成度和可靠性的最佳選擇之一。目前FPGA的品種很多,有XILINX的xc系列、TI公司的TPC系列、ALTERA公司的FIEX系列等。VHDL于1983年有美國國防部(DOD)發(fā)起創(chuàng)建,由IEEE進(jìn)一步發(fā)展并在1987年作為“IEEE標(biāo)準(zhǔn)1076”發(fā)布。從此,VHDL成為硬件描述語言的業(yè)界標(biāo)準(zhǔn)之一。VHDL作為一個(gè)規(guī)范語言和建模語言,隨著VHDL的標(biāo)準(zhǔn)化,出現(xiàn)了一些支持該語言的行為仿真器。由于創(chuàng)建VHDL的最初目標(biāo)是用于標(biāo)準(zhǔn)文檔的建立和電路功能模擬,其基本想法是在高層次上描述系統(tǒng)和元件的行為。但到了20世紀(jì)90年代初,人們發(fā)現(xiàn),VHDL不僅可以作為系統(tǒng)模擬的建模工具,

7、而且可以作為電路系統(tǒng)的設(shè)計(jì)工具,可以利用軟件工具將VHDL源碼自動(dòng)地轉(zhuǎn)化為文本方式表達(dá)的基本邏輯元件連接圖,即網(wǎng)表文件。這種方法顯然對(duì)于電路自動(dòng)設(shè)計(jì)師一個(gè)極大的推進(jìn)。很快,電子設(shè)計(jì)領(lǐng)域出現(xiàn)了第一個(gè)軟件設(shè)計(jì)工具,即VHDL邏輯綜合器,它把標(biāo)準(zhǔn)VHDL的部分語句描述轉(zhuǎn)化為具體電路實(shí)現(xiàn)的網(wǎng)表文件。VHDL具有與具體硬件電路無關(guān)和與設(shè)計(jì)平臺(tái)無關(guān)的特性,并且具有良好的電路行為描述和系統(tǒng)描述的能力,在語言易讀性和層次化結(jié)構(gòu)化設(shè)計(jì)方面表現(xiàn)了強(qiáng)大的生命力和應(yīng)用潛力。因此,VHDL支持各種模式的設(shè)計(jì)方法:自頂向下雨自底向上或混合方法,在面對(duì)當(dāng)今許多電子產(chǎn)品生命周期縮短,需要多次重新設(shè)計(jì)以融入最新技術(shù)、改變工藝等

8、方面,VHDL具有良好的適應(yīng)性。用VHDL進(jìn)行電子系統(tǒng)設(shè)計(jì)的一個(gè)很大的優(yōu)點(diǎn)神設(shè)計(jì)者可以專心致力于其功能的實(shí)現(xiàn),而不需要對(duì)不影響功能的與工藝有關(guān)的因素花費(fèi)過多的時(shí)間和精力。1.4 Quartus II軟件介紹Quartus II應(yīng)用開發(fā)工具提供完整的多平臺(tái)設(shè)計(jì)環(huán)境,它可以輕易滿足特定設(shè)計(jì)的需要,是可編程片上系統(tǒng)(SOPC)設(shè)計(jì)的綜合性環(huán)境。Quartus II可在個(gè)人計(jì)算機(jī)或Unix/Linus工作站上使用,大大簡便了整個(gè)設(shè)計(jì)過程,做到真正的快速CPLD/FPGA應(yīng)用開發(fā)。與早期的MAX+plus II開發(fā)工具相比,Quartus II提供更為廣泛的器件支持庫、更高的編譯效率、更好的圖形界面和更

9、為便捷的仿真平臺(tái)。Quartus II全面支持Altera公司出品的芯片產(chǎn)品,就4.0版本而言,它支持的芯片型號(hào)包括ACEX 1K、APEX全系列、APEX II、基于ARM技術(shù)的Excalibur系列、Cyclone、FLEX全系列、HardCopy Stratix、MAX II、MAX全系列、Mercury、Stratix、Stratix II以及Stratix GX等。Quartus II為電路設(shè)計(jì)者提供了完整的多平臺(tái)設(shè)計(jì)環(huán)境,它可以滿足眾多特定設(shè)計(jì)的需要。Quartus II擁有CPLD/FPGA各個(gè)開發(fā)階段對(duì)應(yīng)的開發(fā)工具,設(shè)計(jì)者通過它的集成開發(fā)環(huán)境可一次性完成整體應(yīng)用的開發(fā)。圖1.1

10、所示是利用Quartus II完成應(yīng)用開發(fā)的流程圖。設(shè)計(jì)實(shí)體文件的輸入時(shí)序測試、極限逼近整體設(shè)計(jì)工程更改管理設(shè)計(jì)調(diào)試過程綜 合布局連線時(shí)序分析仿 真器件編程與配置圖1.1 Quartus II設(shè)計(jì)流程第2章 電梯控制系統(tǒng)2.1 設(shè)計(jì)背景與意義目前國內(nèi)七八十年代安裝的許多電梯電氣部分用繼電器接觸器控制系統(tǒng),線路復(fù)雜,接線多,故障率高,維修保養(yǎng)難,許多已處于閑置狀態(tài),其拽引系統(tǒng)多采用交流雙速電機(jī)系統(tǒng)換速,效率低,調(diào)速性能指標(biāo)較差,嚴(yán)重影響電梯運(yùn)行質(zhì)量。由于這些電梯交流調(diào)壓調(diào)速系統(tǒng),交流雙速電機(jī)拖動(dòng)系統(tǒng)性能及乘坐舒適感較差,交流調(diào)壓調(diào)速系統(tǒng)屬能耗型調(diào)速的機(jī)械部分無大問題,為節(jié)約資金,大部分老式電梯用

11、戶希望對(duì)電梯的電氣控制系統(tǒng)進(jìn)行改造,提高電梯的運(yùn)行性能。因此對(duì)電梯控制技術(shù)進(jìn)行研究,尋找適合我國老式電梯的改造方法具有十分重要的意義。電梯作為高層建筑物的重要交通工具與人們的工作和生活日益緊密聯(lián)系。FPGA/CPLD作為新一代工業(yè)控制器,以其高可靠性和技術(shù)先進(jìn)性,在電梯控制中得到廣泛應(yīng)用,從而使電梯由傳統(tǒng)的繼電器控制方式發(fā)展為計(jì)算機(jī)控制的一個(gè)重要方向,成為當(dāng)前電梯控制和技術(shù)改造的熱點(diǎn)之一。由于FPGA/CPLD具有性能穩(wěn)定、抗干擾能力強(qiáng)、設(shè)計(jì)配置靈活等特點(diǎn)。因此在工業(yè)控制方面得到了廣泛應(yīng)用。自90年代后期FPGA/CPLD引入我國電梯行業(yè)以來,由FPGA/CPLD組成的電梯控制系統(tǒng)被許多電梯制

12、造廠家普遍采用。并形成了一系列的定型產(chǎn)品。在傳統(tǒng)繼電器系統(tǒng)的改造工程中,F(xiàn)PGA/CPLD系統(tǒng)一直是主流控制系統(tǒng)。電梯控制系統(tǒng)分為調(diào)速部分和邏輯控制部分。調(diào)速部分的性能對(duì)電梯運(yùn)行是乘客的舒適感有著重要影響,而邏輯控制部分則是電梯安全可靠運(yùn)行的關(guān)鍵。為了改善電梯的舒適感和運(yùn)行的可靠性,現(xiàn)在都改為用FPGA/CPLD來控制電梯的運(yùn)行,這樣大大提高了電梯的性能。2.2 設(shè)計(jì)要求采用FPGA設(shè)計(jì)一個(gè)10層的電梯控制系統(tǒng),用VHDL語言進(jìn)行程序設(shè)計(jì),用Quartus II軟件進(jìn)行結(jié)果仿真。該控制器可控制電梯完成10層樓的載客服務(wù)。要求遵循方向優(yōu)先原則,能響應(yīng)提前關(guān)門或延遲關(guān)門,并具有超載報(bào)警和故障報(bào)警;

13、同時(shí)指示電梯運(yùn)行情況和電梯內(nèi)外請(qǐng)求信息。第3章 電梯控制系統(tǒng)整體設(shè)計(jì)方案3.1 不同的方案設(shè)計(jì)3.1.1 基于單片機(jī)的電梯控制設(shè)計(jì)方案電梯控制系統(tǒng)的工作原理是:當(dāng)某層有電梯信號(hào)輸入時(shí),電梯信號(hào)鎖存系統(tǒng)將電梯信號(hào)鎖存,待單片機(jī)查詢到電梯信號(hào)后,根據(jù)電梯信號(hào)的位置(即樓層數(shù))和電梯所處的位置,決定電梯運(yùn)行方向,并啟動(dòng)電梯到電梯層停梯、開門,待乘客進(jìn)入電梯關(guān)門后,再根據(jù)乘客要求把乘客送到目的層。用單片機(jī)實(shí)現(xiàn)電梯控制系統(tǒng)的結(jié)構(gòu)原理框圖如圖3.1所示。9電梯上升、下降指示器電梯開關(guān)門電路電梯運(yùn)行電路電梯安全控制電路單片機(jī)電梯位置監(jiān)測與顯示電路上、下樓請(qǐng)求信號(hào)存儲(chǔ)電路圖3.1 單片機(jī)電梯控制系統(tǒng)的方框圖3

14、.1.2 基于PLC的電梯控制設(shè)計(jì)方案電梯PLC的控制系統(tǒng)和其他類型的電梯控制系統(tǒng)一樣主要由控制系統(tǒng)和拖動(dòng)控制系統(tǒng)兩部分組成。其基本結(jié)構(gòu)圖如圖3.2所示,主要硬件包括主機(jī)及擴(kuò)展、機(jī)械系統(tǒng)、轎廂操縱盤、廳外呼梯盤、指層器、門機(jī)、調(diào)速裝置與主拖動(dòng)系統(tǒng)等。PC主機(jī)CPU存儲(chǔ)器輸出接口輸入接口門機(jī)控制拖動(dòng)控制調(diào)整器指層器并道裝置安全裝置廳外呼梯轎廂操作盤圖3.2 PLC電梯控制系統(tǒng)的基本結(jié)構(gòu)圖3.1.3 基于FPGA的電梯控制設(shè)計(jì)方案該設(shè)計(jì)采用方向優(yōu)先控制方式方案,并能響應(yīng)提前關(guān)門延時(shí)關(guān)門,并具有超載報(bào)警和故障報(bào)警;同時(shí)指示電梯運(yùn)行情況和電梯內(nèi)外請(qǐng)求信息。它主要由4個(gè)模塊組成:外部數(shù)據(jù)高速采集模塊、信

15、號(hào)存儲(chǔ)模塊、基于FPGA的控制器模塊、信號(hào)的輸出、顯示模塊。3.2 方案比較與選擇采用單片機(jī)的電梯控制系統(tǒng)是以MCS-51系列單片機(jī)為控制核心與可編程接口芯片和采用串入并出移位寄存器的顯示驅(qū)動(dòng)電路及帶有比較器的信號(hào)輸入濾波電路構(gòu)造的一個(gè)主控板并利用軟件手段實(shí)現(xiàn)對(duì)電梯運(yùn)行的邏輯控制。結(jié)構(gòu)簡單,運(yùn)行可靠,耗電量少且便于維修,具有造價(jià)低廉,維修方便之特點(diǎn),但沒FPGA控制方便靈活。采用PLC的電梯控制系統(tǒng)是一種用于自動(dòng)化控制的專用計(jì)算機(jī),實(shí)質(zhì)上屬于計(jì)算機(jī)控制方式。PLC控制一般具有可靠高、易操作、維修、編程簡單、靈活性強(qiáng)等特點(diǎn)。在設(shè)計(jì)中要使用到變頻器,其功能強(qiáng),使用靈活,由于PLC的針對(duì)性較強(qiáng),每一

16、臺(tái)PLC都是根據(jù)一個(gè)設(shè)備而設(shè)計(jì)的,且使用到繼電器,所以價(jià)格較昂貴,操作復(fù)雜。采用FPGA的電梯控制系統(tǒng)通過對(duì)器件內(nèi)部的設(shè)計(jì)來實(shí)現(xiàn)系統(tǒng)功能,是一種基于芯片的設(shè)計(jì)方法。設(shè)計(jì)者可以根據(jù)需要定義器件內(nèi)部邏輯和引出端,將電路板設(shè)計(jì)的大部分工作放在芯片的設(shè)計(jì)中進(jìn)行,通過對(duì)芯片設(shè)計(jì)實(shí)現(xiàn)數(shù)字系統(tǒng)的邏輯功能。靈活的內(nèi)部功能塊組合,引出端定義等,可大大減輕電路設(shè)計(jì)和電路板設(shè)計(jì)和電路板設(shè)計(jì)的工作量和難度,有效的增強(qiáng)設(shè)計(jì)的靈活性,提高工作效率。同時(shí)采用可編程邏輯器件,設(shè)計(jì)人員在實(shí)驗(yàn)室可反復(fù)編程,修改錯(cuò)誤,以期盡快開發(fā)產(chǎn)品,迅速占領(lǐng)市場?;谛酒脑O(shè)計(jì)方法可以減少芯片的數(shù)量,縮小系統(tǒng)體積,降低能源消耗,提高系統(tǒng)的性能和

17、可靠性。基于FPGA的電梯控制系統(tǒng)比基于單片機(jī)的控制更靈活、更方便于設(shè)計(jì),基于PLC的控制系統(tǒng)的針對(duì)性要強(qiáng),一臺(tái)PLC只針對(duì)于一個(gè)設(shè)備而設(shè)計(jì)且要使用到繼電器,所以價(jià)格昂貴,綜合考慮使用FPGA設(shè)計(jì)電梯控制系統(tǒng)比較適合。3.3 整體方案設(shè)計(jì)設(shè)計(jì)一個(gè)10層樓的電梯控制器,該控制器可控制電梯完成10層樓的載客服務(wù)而且遵循方向優(yōu)先原則,方向優(yōu)先控制是指電梯運(yùn)行到某一樓層時(shí)先考慮這一樓層是否有請(qǐng)求:有,則停止;無,則繼續(xù)前進(jìn)。停下后再啟動(dòng)時(shí)的步驟: 考慮前方上方或下方是否有請(qǐng)求:有,則繼續(xù)前進(jìn);無,則停止; 檢測后方是否有請(qǐng)求,有請(qǐng)求則轉(zhuǎn)向運(yùn)行,無請(qǐng)求則維持停止?fàn)顟B(tài)。這種運(yùn)行方式下,電梯對(duì)用戶的請(qǐng)求響應(yīng)

18、率為100%,且響應(yīng)的時(shí)間較短,電梯在維修停止?fàn)顟B(tài)的時(shí)候可以進(jìn)入省電模式,又能節(jié)省大量電能。具體功能如下:(1)完成10層樓的載客服務(wù)控制;(2)電梯初始位置為第一層;(3)電梯運(yùn)行時(shí)顯示電梯的運(yùn)行方向和所在的樓層;(4)當(dāng)電梯到達(dá)選擇的樓層時(shí),電梯自動(dòng)開門;(5)當(dāng)電梯到達(dá)本層時(shí),清除請(qǐng)求;(6)具有提前關(guān)電梯門和延時(shí)關(guān)電梯門的功能; (7)電梯處于等待狀態(tài)時(shí),上升和下降請(qǐng)求都響應(yīng); (8)電梯處于上升狀態(tài)時(shí),有上升請(qǐng)求的分控制器所在樓層數(shù)大于電梯所在的樓層數(shù)。 (9)電梯處于下降狀態(tài)時(shí),有下降請(qǐng)求的分控制器所在樓層數(shù)小于電梯所在的樓層數(shù)。2系統(tǒng)方框圖如圖3.3。如果壓力傳感器連續(xù)采集到3

19、次關(guān)門中斷信號(hào),則啟動(dòng)電梯故障信號(hào),除非人為對(duì)故障進(jìn)行清除,否則電梯將保持開門狀態(tài)并不進(jìn)行任何操作。如果壓力傳感器采集到電梯超載,電梯將報(bào)警,并保持開門狀態(tài)不進(jìn)行任何操作,直到壓力傳感器采集到電梯不再超載。電梯打開后,如果用戶不進(jìn)行任何操作,電梯3 秒后將自動(dòng)關(guān)門,如果用戶按提前關(guān)門鍵,電梯將立即響應(yīng)關(guān)門指令??刂破髂K對(duì)電梯內(nèi)及電梯外用戶的上升和下降請(qǐng)求進(jìn)行處理,處理結(jié)果并置后存入信號(hào)存儲(chǔ)模塊。電梯在運(yùn)行過程中,每到達(dá)一層將通過控制器模塊,對(duì)信號(hào)存儲(chǔ)模塊的存儲(chǔ)指令進(jìn)行比對(duì),以判斷是否需要停止,并通過光敏采集到的信號(hào)來判斷電梯所到達(dá)的樓層, 并通過顯示模塊進(jìn)行顯示。1圖3.3 電梯方向優(yōu)先控制

20、方式控制器系統(tǒng)方框圖第4章 電梯控制系統(tǒng)的硬件設(shè)計(jì)4.1 外部數(shù)據(jù)高速采集模塊設(shè)計(jì)有效的對(duì)外部信號(hào)采集、處理要求電梯控制器:對(duì)外部請(qǐng)求信號(hào)的實(shí)時(shí)、準(zhǔn)確采集;準(zhǔn)確、實(shí)時(shí)的捕捉樓層到達(dá)信號(hào);有效防止樓層到達(dá)信號(hào)、外部請(qǐng)求信號(hào)的誤判??刂破鞑捎肍PGA作為系統(tǒng)控制的核心,系統(tǒng)時(shí)鐘頻率是32MHz,完全可以滿足實(shí)時(shí)采集數(shù)據(jù)的要求。由于電路中毛刺現(xiàn)象的存在,信號(hào)的純凈度降低,單個(gè)的毛刺往往被誤作為系統(tǒng)狀態(tài)轉(zhuǎn)換的觸發(fā)信號(hào),嚴(yán)重影響電梯的正常工作??梢圆捎枚啻螜z測的方法解決這個(gè)問題,對(duì)一個(gè)信號(hào)進(jìn)行多次采樣以保證信號(hào)的可信度。外部請(qǐng)求信號(hào)的輸入形式為按鍵輸入,到達(dá)樓層信號(hào)來自光敏傳感器,關(guān)門中斷信號(hào)及超載信號(hào)

21、則產(chǎn)生于壓力傳感器。4.1.1 鍵盤輸入外部請(qǐng)求信號(hào)輸入采用鍵盤輸入,用帶有I/O口的線組成行列結(jié)構(gòu),按鍵設(shè)置在行列的交點(diǎn)上。本題目采用5×6的行列結(jié)構(gòu)可以構(gòu)成30個(gè)鍵盤,實(shí)際使用30個(gè)。按鍵設(shè)置在行列線交叉點(diǎn),行、列線分別連接到按鍵開關(guān)的兩端。列線通過上拉電阻接5V的電壓,即列線的輸出被鉗位到高電平狀態(tài)。判斷鍵盤中有無按鍵按下時(shí)通過行線送入掃描信號(hào),然后從列線讀取狀態(tài)得到的。其方法是依次給行線送低電平,檢查列線的輸入。如果列線信號(hào)全為高電平,則代表低電平信號(hào)所在的行中無按鍵按下;如果列線有輸入為低電平,則低電平信號(hào)所在的行和出現(xiàn)低電平的列的交點(diǎn)處有按鍵按下,電路圖如圖4.1所示。圖

22、4.1 鍵盤輸入電路4.2 信號(hào)存儲(chǔ)模塊電梯控制器的請(qǐng)求輸入信號(hào)有30個(gè)(電梯外有9個(gè)上升請(qǐng)求和9個(gè)下降請(qǐng)求的用戶輸入端口,電梯內(nèi)有10個(gè)請(qǐng)求用戶輸入端口,一個(gè)提前關(guān)門quick,一個(gè)清楚報(bào)警信號(hào)clr),由于系統(tǒng)對(duì)內(nèi)、外請(qǐng)求沒有設(shè)置優(yōu)先級(jí),各樓層的內(nèi)、外請(qǐng)求信號(hào)被采集后可先進(jìn)行運(yùn)算,再存到存儲(chǔ)器內(nèi)。電梯運(yùn)行過程中,由于用戶的請(qǐng)求信號(hào)的輸入是離散的,而且系統(tǒng)對(duì)請(qǐng)求的響應(yīng)也是離散的,因此請(qǐng)求信號(hào)的存儲(chǔ)要求新的請(qǐng)求信號(hào)不能覆蓋原來的請(qǐng)求信號(hào),只有響應(yīng)動(dòng)作完成后才能清除存儲(chǔ)器內(nèi)對(duì)應(yīng)的請(qǐng)求信號(hào)位。對(duì)應(yīng)某一樓層的請(qǐng)求信號(hào)的存儲(chǔ)、清除電路如圖4.2所示。圖4.2 請(qǐng)求信號(hào)操作電路4.3 基于FPGA的控制

23、器模塊控制器模塊是系統(tǒng)的核心,通過對(duì)存儲(chǔ)的數(shù)據(jù)(含請(qǐng)求、到達(dá)樓層等信號(hào))進(jìn)行比較、判斷以驅(qū)動(dòng)系統(tǒng)狀態(tài)的流轉(zhuǎn)。電梯工作過程中共有9種狀態(tài):等待、上升、下降、開門、關(guān)門、停止、休眠、超載報(bào)警以及故障報(bào)警狀態(tài)。一般情況下,電梯工作起始點(diǎn)是第一層,起始狀態(tài)是等待狀態(tài),啟動(dòng)條件是收到上升請(qǐng)求??刂破髂K采用FPGA芯片,編寫控制程序后下載到芯片內(nèi),實(shí)現(xiàn)對(duì)電梯系統(tǒng)的控制。其使用的芯片是Xilinx公司Spartan II系列的XC2S15-5CS144C芯片。Spartan II器件的集成度可以達(dá)到15萬門,系統(tǒng)速度可達(dá)到200MHz,能達(dá)到ASIC的性價(jià)比。Spartan II 2.5V現(xiàn)場可編程們Sp

24、artan II器件的工作電壓為2.5V,采用0.22m/0.18m CMOS工藝,6層金屬連線制造。4.4 信號(hào)的輸出、顯示模塊本系統(tǒng)的輸出信號(hào)有三種:一種是電機(jī)的升降控制信號(hào)(兩位)和開門/關(guān)門控制信號(hào);一種是面向用戶的提示信號(hào)(含樓層顯示、方向顯示、已接受請(qǐng)求顯示等);一種是對(duì)于超載、故障的報(bào)警信號(hào)。電機(jī)的控制信號(hào)一般需要兩位,本系統(tǒng)中電機(jī)有3種工作狀態(tài):正轉(zhuǎn)、反轉(zhuǎn)和停轉(zhuǎn)狀態(tài)。兩位控制信號(hào)作為一個(gè)三路開關(guān)選通信號(hào),此三路開關(guān)選用模擬電子開關(guān)。系統(tǒng)的顯示輸出包括數(shù)碼管請(qǐng)求信號(hào)顯示、數(shù)碼管樓層顯示。電路圖如圖4.3,4.4所示。圖4.3 電梯內(nèi)、外請(qǐng)求信號(hào)顯示電路圖圖4.4 數(shù)碼管樓層顯示電

25、路圖本系統(tǒng)具有請(qǐng)求信號(hào)顯示功能,結(jié)合方向顯示,可以減少用戶對(duì)同一請(qǐng)求的輸入次數(shù),這樣就延長了電梯按鍵的使用壽命。假如電梯處于向上運(yùn)動(dòng)狀態(tài),初始位置是底層,初始請(qǐng)求是10樓,2樓時(shí)進(jìn)入一人,如果他的目的地是10樓,他看到初始請(qǐng)求是10樓,就可以不再按鍵。同時(shí),電梯外部的人也可以根據(jù)請(qǐng)求信號(hào)顯示(上升請(qǐng)求、下降請(qǐng)求、無請(qǐng)求),就可以避免沒必要的重復(fù)請(qǐng)求信號(hào)輸入。電梯使用時(shí),系統(tǒng)結(jié)合相應(yīng)的電梯使用規(guī)范完全可以滿足人們的需要,而且效率比較高。圖4.5 報(bào)警輸出電路圖報(bào)警輸出電路圖主要由頻率放大器構(gòu)成。當(dāng)電梯內(nèi)超載時(shí),壓力傳感器輸出的電壓超出范圍時(shí),雙限比較器輸出低電平,觸發(fā)了報(bào)警電路,發(fā)出報(bào)警信號(hào)。第

26、5章 電梯控制系統(tǒng)軟件設(shè)計(jì)及仿真5.1 鍵盤輸入軟件設(shè)計(jì)5.1.1 鍵盤掃描模塊鍵盤掃描電路是用于產(chǎn)生keydrv4到keydrv0信號(hào),其變化的順序依次為1111011101110111011101111周而復(fù)始地掃描。其停留在每個(gè)狀態(tài)的時(shí)間大約為10ms.更短的停留時(shí)間是沒有必要的,因?yàn)槿税存I的時(shí)間大約為10ms,不可能有更快的按鍵動(dòng)作發(fā)生;另外,更短的停留時(shí)間還容易采集到抖動(dòng)信號(hào),會(huì)干擾判斷。而太長的停留時(shí)間則容易丟失某些較快的按鍵動(dòng)作。鍵盤掃描電路的外部接口如圖5.1所示。其中clk_scan是周期為10ms的掃描時(shí)鐘,keydrv為輸出到鍵盤的掃描信號(hào),寬度為5位。鍵盤掃描電路程序:

27、library IEEE;use IEEE.std_logic_1164.ALL;entity keysan isport(clk_scan:in std_logic; -掃描時(shí)鐘,周期10ms keydrv:out std_logic_vector(4downto 0); -輸出掃描信號(hào)end keysan;architecture behavior of keysan is constant s0:std_logic_vector(4 downto 0):="11110" -定義狀態(tài)機(jī)編碼 constant s1:std_logic_vector(4 downto 0)

28、:="11101" constant s2:std_logic_vector(4 downto 0):="11011" constant s3:std_logic_vector(4 downto 0):="10111" constant s4:std_logic_vector(4 downto 0):="01111" signal present_state:std_logic_vector(4downto 0); -狀態(tài)機(jī)現(xiàn)態(tài) signal next_state:std_logic_vector(4 downto

29、 0); -狀態(tài)機(jī)次態(tài)begin process(clk_scan) -狀態(tài)更新進(jìn)程 begin if(clk_scan'event and clk_scan='1') then present_state<=next_state; end if ; end process; process(present_state) -狀態(tài)譯碼 begin case present_state is when s0=>next_state<=s1; when s1=>next_state<=s2; when s2=>next_state<=

30、s3; when s3=>next_state<=s4; when s4=>next_state<=s0; when others=>next_state<=s0; -多余態(tài)處理 end case; end process; keydrv<=present_state; -輸出譯碼end behavior;以上程序采用一個(gè)狀態(tài)機(jī)來實(shí)現(xiàn)掃描電路。該狀態(tài)機(jī)是一個(gè)one-hot狀態(tài)機(jī),并且輸出值就是狀態(tài)機(jī)的狀態(tài),沒有通過一個(gè)邏輯電路來做輸出譯碼。這樣做的好處是得到的輸出信號(hào)比較“干凈”,沒有毛刺。圖5.1為外部接口圖,圖5.2為波形仿真圖。圖5.1 外部接口

31、圖圖5.2 波形仿真圖5.1.2 鍵盤譯碼電路鍵盤譯碼電路是從keydrv4到keydrv0和keyin5到keyin0信號(hào)中譯碼出按鍵鍵值的電路。按鍵標(biāo)志產(chǎn)生電路是產(chǎn)生按鍵標(biāo)志信號(hào)keypressed的電路,其外部接口如圖5.3所示。其中clk為全局時(shí)鐘信號(hào),它是由FPGA芯片的外部晶振給出的。clk在系統(tǒng)中的頻率是最高,其他時(shí)鐘都由它分頻產(chǎn)生。keydrv為鍵盤掃描信號(hào),keyin為鍵盤輸入信號(hào),keypressed表示有一個(gè)按鍵被按下,每發(fā)生一次按鍵動(dòng)作,keypressed就輸出一個(gè)寬度為全局時(shí)鐘周期的正脈沖。該信號(hào)用于與其他模塊一起,負(fù)責(zé)通知其他模塊鍵盤上有按鍵發(fā)生。其他模塊在key

32、ypressed有效時(shí),可以讀取鍵值。程序如下:library IEEE;use IEEE.std_logic_1164.all;use IEEE.std_logic_arith.all;entity keydecoder isport( keyin:in std_logic_vector(5 downto 0); -鍵盤輸入 keydrv:in std_logic_vector(4downto 0); -掃描信號(hào) clk:in std_logic; -全局時(shí)鐘 clk_scan: in std_logic; -掃描時(shí)鐘 c_u1,c_u2,c_u3,c_u4,c_u5,c_u6,c_u7,c

33、_u8,c_u9:out std_logic; -輸出值 c_d2,c_d3,c_d4,c_d5,c_d6 ,c_d7, c_d8, c_d9, c_d10:out std_logic; d1,d2,d3,d4,d5,d6,d7,d8,d9,d10:out std_logic; quick,clr:out std_logic; keypressed: out std_logic); -有鍵被按下標(biāo)志end keydecoder;architecture rt1 of keydecoder issignal temp:std_logic_vector(10downto 0); -temp= ke

34、yin&keydrvsignal temp_pressed:std_logic; -用于產(chǎn)生keypressedsignal q1,q2,q3,q4,q5,q6,q7,q8,q9,q10:std_logic; -10個(gè)寄存器signal keypressed_asy:std_logic; -同步化的keypressedbegintemp<=keydrv&keyin;process(temp) -譯碼進(jìn)程begin case temp is temp_pressed<='1' temp_pressed<='1' temp_pres

35、sed<='1' temp_pressed<='1' temp_pressed<='1'temp_pressed<='1' temp_pressed<='1'temp_pressed<='1' temp_pressed<='1' temp_pressed<='1' temp_pressed<='1' temp_pressed<='1' temp_pressed<='

36、;1' temp_pressed<='1' temp_pressed<='1' temp_pressed<='1' temp_pressed<='1' temp_pressed<='1' temp_pressed<='1' temp_pressed<='1' temp_pressed<='1' temp_pressed<='1' temp_pressed<='1' te

37、mp_pressed<='1' when "01111111110"=>d7<='1' temp_pressed<='1' when "01111111101"=>d8<='1' temp_pressed<='1' when "01111111011"=>d9<='1' temp_pressed<='1' when "01111110111"=&

38、gt;d10<='1' temp_pressed<='1'when "01111101111"=>quick<='1' temp_pressed<='1' when "01111011111"=>clr<='1' temp_pressed<='1' when others=> temp_pressed<='0' end case;end process;process(clk_scan

39、) -按鍵標(biāo)志產(chǎn)生電路begin if(clk_scan'event and clk_scan='1') then q1<=temp_pressed; q2<=q1; q3<=q2; q4<=q3;q5<=q4;q6<=q5;q7<=q6;q8<=q7;end if; keypressed_asy<=q1 or q2 or q3 or q4 or q5 or q6 or q7 or q8;end process;process(clk) -同步化keypressed_asybegin if(clk'event

40、 and clk='1') then q9<=keypressed_asy; q10<=q9; end if; keypressed<=q9 and not(q10);end process;end rt1;輸入信號(hào)clk_scan,它是用于產(chǎn)生掃描信號(hào)的時(shí)鐘,周期為10ms。按鍵信號(hào)temp_pressed首先通過clk_scan信號(hào)的上升沿采樣。通過采樣后,抖動(dòng)噪聲被消除。采樣后的信號(hào)被分別延遲1到8個(gè)clk_scan周期得到8個(gè)信號(hào)q1,q2,q3和q4 ,q5, q6, q7, q8,。這8個(gè)信號(hào)進(jìn)行或運(yùn)算得到一個(gè)寬約160ms并且與全局時(shí)鐘異步的按鍵

41、信號(hào)keypressed_asy。原來程序中一個(gè)長時(shí)間的按鍵過程有可能會(huì)被認(rèn)為是多次按鍵,通過這種方法使得一個(gè)長時(shí)間的按鍵仍然被認(rèn)為是一次按鍵。圖5.3 外部接口圖5.1.3 時(shí)鐘產(chǎn)生電路時(shí)鐘產(chǎn)生電路是用用于產(chǎn)生掃描時(shí)鐘的,它的輸出提供給鍵盤掃描電路和按鍵標(biāo)志產(chǎn)生電路,其外部接口如圖5.4所示。它的輸入是全局時(shí)鐘,在這里假設(shè)全局時(shí)鐘頻率為32MHz。他的輸出是周期為100ms的掃描時(shí)鐘。程序如下:library IEEE;use IEEE.std_logic_1164.all;use IEEE.std_logic_arith.all;entity clk_gen isport(clk:in s

42、td_logic; -全局時(shí)鐘 clk_scan:out std_logic); -掃描時(shí)鐘end clk_gen;architecture rt1 of clk_gen issignal cnt:integer range 0 to 199; -做程序仿真時(shí),用這個(gè)語句begin process(clk) -計(jì)數(shù)模塊 begin if(clk'event and clk='1') then if(cnt=cnt'high) then cnt<=0; else cnt<=cnt+1; end if; end if; end process; proc

43、ess(cnt,clk) -譯碼輸出 begin if(clk'event and clk='1') then -寄存器鎖存輸出,目的消除波形上的“毛刺”(小的抖動(dòng)) if cnt>=cnt'high/2 then clk_scan<='1' else clk_scan<='0' end if; end if;end process;end rt1;該程序主要包含有一個(gè)計(jì)數(shù)器模塊和一個(gè)譯碼輸出模塊,該程序是通過計(jì)數(shù)器模塊實(shí)現(xiàn)分頻的。要從32MHz的全局時(shí)鐘得到100Hz的時(shí)鐘,必須進(jìn)行320000倍的分頻,如圖5

44、.5所示。圖5.4 外部接口圖圖5.5 波形仿真圖5.2 控制模塊設(shè)計(jì)及仿真系統(tǒng)的狀態(tài)流程圖如圖5.6所示。圖中,超載狀態(tài)時(shí)電梯關(guān)門動(dòng)作取消,同時(shí)發(fā)出報(bào)警,直到警報(bào)被清除;故障時(shí)電梯不執(zhí)行關(guān)門動(dòng)作,同時(shí)發(fā)出警報(bào),直到警報(bào)被清除(看門狗信號(hào)有效的條件是一層樓連續(xù)發(fā)生關(guān)門中斷請(qǐng)求超過3次)。本系統(tǒng)由請(qǐng)求信號(hào)啟動(dòng),運(yùn)行中每檢測到一個(gè)到達(dá)樓層信號(hào),就將信號(hào)存儲(chǔ)器的請(qǐng)求信號(hào)和樓層狀態(tài)信號(hào)進(jìn)行比較,再參考原方向信號(hào)來決定是否停止,轉(zhuǎn)向等動(dòng)作。電梯控制器功能:完成10個(gè)樓層的多用戶載客服務(wù)控制;電梯內(nèi)設(shè)有乘客到達(dá)層數(shù)的停站請(qǐng)求開關(guān);每層電梯外設(shè)有上下請(qǐng)求開關(guān),顯示乘客的上升和下降請(qǐng)求;電梯運(yùn)行時(shí),樓內(nèi)外同時(shí)

45、顯示電梯的運(yùn)行狀態(tài)和所在的樓層;當(dāng)電梯到達(dá)選擇的樓層時(shí),經(jīng)過1s 電梯門打開,開門指示燈亮,開門3s 后,電梯關(guān)閉,指示燈滅,電梯繼續(xù)運(yùn)行,直至執(zhí)行完最后一個(gè)請(qǐng)求信號(hào)停在1 層;能記憶電梯外的所有請(qǐng)求信號(hào),按照電梯運(yùn)行規(guī)則次序響應(yīng),每個(gè)請(qǐng)求信號(hào)保留至執(zhí)行后消除; 響應(yīng)電梯外的有效請(qǐng)求,到達(dá)請(qǐng)求的樓層,電梯自動(dòng)開門。電梯運(yùn)行規(guī)則:電梯處于上升狀態(tài)時(shí),響應(yīng)上升請(qǐng)求的樓層大于電梯的所在層;電梯處于下降狀態(tài)時(shí),響應(yīng)有下降請(qǐng)求的樓層小于電梯的所在層;執(zhí)行完上升狀態(tài)時(shí),若更高層有下樓請(qǐng)求,接開到下樓請(qǐng)求的最高層接客,然后進(jìn)入下降模式;先執(zhí)行完所有的上樓請(qǐng)求再執(zhí)行下樓請(qǐng)求,抑或先執(zhí)行完所有的下樓請(qǐng)求再執(zhí)行上

46、樓請(qǐng)求。其5.7為接口電路。圖5.6 系統(tǒng)狀態(tài)流程圖1控制源程序如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity dianti is port(clk:in std_logic; -時(shí)鐘信號(hào) full,deng,quick,clr:in std_logic; -超載、關(guān)門中斷、提前關(guān)門清除報(bào)警信號(hào) c_u1,c_u2,c_u3,c_u4,c_u5,c_u6,c_u7,c_u8,c_u9:out std_logic;-電梯外

47、人的上升請(qǐng)求信號(hào) c_d2,c_d3,c_d4,c_d5,c_d6 ,c_d7, c_d8, c_d9, c_d10:out std_logic;-電梯外人的下降請(qǐng)求信號(hào) d1,d2,d3,d4,d5,d6,d7,d8,d9,d10:in std_logic; -電梯內(nèi)人請(qǐng)求信號(hào) g1,g2,g3,g4,g5,g6,g7,g8,g9,g10:in std_logic; -到達(dá)樓層信號(hào) door:out std_logic_vector(1 downto 0);-電梯門控制信號(hào) led1:out std_logic_vector(6 downto 0);-電梯所在樓層顯示 led2:out st

48、d_logic_vector(6 downto 0); led_c_u:out std_logic_vector(9 downto 0);-電梯外人上升請(qǐng)求信號(hào)顯示 led_c_d:out std_logic_vector(9 downto 0);-電梯外人下降請(qǐng)求信號(hào)顯示 led_d:out std_logic_vector(9 downto 0);-電梯內(nèi)請(qǐng)求信號(hào)顯示 wahaha:out std_logic; -看門狗報(bào)警信號(hào) ud,alarm:out std_logic; -電梯運(yùn)動(dòng)方向顯示,超載警告信號(hào) up,down:out std_logic); -電機(jī)控制信號(hào)和電梯運(yùn)動(dòng)end

49、dianti;architecture behav of dianti is signal d11,d22,d33,d44,d55,d66,d77,d88,d99,d1010:std_logic:=0;-電梯內(nèi)人請(qǐng)求信號(hào)寄存信號(hào) signal c_u11,c_u22,c_u33,c_u44,c_u55,c_u66,c_u77,c_u88,c_u99:std_logic:=0;-電梯外人上升請(qǐng)求信號(hào)寄存信號(hào) signal c_d22,c_d33,c_d44,c_d55,c_d66,c_d77,c_d88,c_d99,c_d1010:std_logic:=0; -電梯外人下降請(qǐng)求信號(hào)寄存信號(hào) si

50、gnal q:integer range 0 to 1; -分頻信號(hào) signal q1:integer range 0 to 6; -關(guān)門延時(shí)計(jì)數(shù)器 signal q2:integer range 0 to 9; -看門狗計(jì)數(shù)器 signal dd,cc_u,cc_d,dd_cc:std_logic_vector(9downto 0);-電梯內(nèi)外請(qǐng)求信號(hào)寄存器 signal opendoor:std_logic; -開門使能信號(hào) signal updown:std_logic; -電梯運(yùn)動(dòng)方向信號(hào)寄存器 signal en_up,en_dw:std_logic; -預(yù)備上升、預(yù)備下降預(yù)操作使

51、能信號(hào)begincom:process(clk)begin if clk'event and clk='1'then if clr='1'then q1<=0;q2<=0;wahaha<='0' -清除故障報(bào)警 elsif full='1'then alarm<='1'q1<=0; -超載報(bào)警 if q1>=3 the door<="10 " else door<="00" end if; elsif q=1 then

52、q<=0;alarm<='0' if q2=3 then wahaha<='1' -故障報(bào)警 else if opendoor ='1'then door<="10"q1<=0;q2<=0;up<='0'down<='0' -開門操作 elsif en_up='1'then -上升預(yù)操 if deng='1'then door <="10"q1<=0;q2<=q2+1; -關(guān)門中

53、斷 elsife quick ='1'then q1<=3; -提前關(guān)門 elsife q1=6 then door<="00"updown<='1'up<='1' -關(guān)門狀態(tài),電梯進(jìn)入上升狀態(tài) elsife q1>=3 then door<="01"q1<=q1+1; -電梯進(jìn)入關(guān)門狀態(tài) else q1<=q1+1;door<="00" -電梯進(jìn)入等待狀態(tài) end if; elsife en_dw='1'then -

54、下降預(yù)操作 if deng='1'then door <="10"q1<=0;q2<=q2+1; elsife quick ='1'then q1<=3; elsife q1=6 then door<="00"updown<='0'down<='1' elsife q1>=3 then door<="01"q1<=q1+1; else q1<=q1+1;door<="00" end

55、 if; end if; if g1='1'then led1<="1001111"led2<="1111111" -電梯到達(dá)1樓,數(shù)碼管顯示1 if d11='1'or c_u11='1'then d11<='0'c_u11<='0'opendoor<='1' -有當(dāng)前層的請(qǐng)求,則電梯進(jìn)入開門狀態(tài) elsif dd_cc>"0000000001"then en_up<='1' op

56、endoor<='0' -有上升請(qǐng)求,則電梯進(jìn)入預(yù)備上升狀態(tài) elsif dd_cc="0000000000"then opendoor<='0' -無請(qǐng)求時(shí),電梯停在1樓待機(jī) end if; elsif g2='1'then led1<="0010010"led2<="1111111" -電梯到達(dá)2樓,數(shù)碼管顯示2 if updown='1'then if d22='1'or c_u22='1'then d22&l

57、t;='0'c_u22<='0'opendoor<='1' -有當(dāng)前層的請(qǐng)求,則電梯進(jìn)入開門狀態(tài) elsif dd_cc>"0000000011"then en_up<='1' opendoor<='0' -有上升請(qǐng)求,則電梯進(jìn)入預(yù)備上升狀態(tài) elsif dd_cc<"0000000010"then en_dw<='1' opendoor<='0' -有下降請(qǐng)求,則電梯進(jìn)入預(yù)備下降狀態(tài) end i

58、f; elsif d22='1'or c_d22='1'then d22<='0'c_d22<='0'opendoor<='1' -有當(dāng)前層的請(qǐng)求,則電梯進(jìn)入開門狀態(tài) elsif dd_cc<"0000000010"then en_dw<='1' opendoor<='0' -有下降請(qǐng)求,則電梯進(jìn)入預(yù)備下降狀態(tài) elsif dd_cc>"0000000011"then en_up<='1' opendoor<='0' -有上升請(qǐng)求,則電梯進(jìn)入預(yù)備上升狀態(tài) end if; elsif g3='1'then led1<="0000110" led2<="1111111" -電梯到達(dá)3樓,數(shù)碼管顯示3 if updown='1'then if d33='1'or c_u33='1'then d33<='0'c_u33&

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論