數(shù)字電路實(shí)驗(yàn)指導(dǎo)書(shū)_第1頁(yè)
數(shù)字電路實(shí)驗(yàn)指導(dǎo)書(shū)_第2頁(yè)
數(shù)字電路實(shí)驗(yàn)指導(dǎo)書(shū)_第3頁(yè)
數(shù)字電路實(shí)驗(yàn)指導(dǎo)書(shū)_第4頁(yè)
數(shù)字電路實(shí)驗(yàn)指導(dǎo)書(shū)_第5頁(yè)
已閱讀5頁(yè),還剩18頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶(hù)提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、第一章 單元實(shí)驗(yàn)實(shí)驗(yàn)一 邏輯門(mén)電路的研究一、 實(shí)驗(yàn)?zāi)康模? 分析“門(mén)”的邏輯功能。2 分析“門(mén)”的控制功能。3 熟悉門(mén)電路的邏輯交換及其功能的測(cè)試方法。二、 實(shí)驗(yàn)使用儀器和器件:1數(shù)字邏輯電路學(xué)習(xí)機(jī)一臺(tái)。2萬(wàn)用表一塊。三、 實(shí)驗(yàn)內(nèi)容和步驟:1 TTL集成門(mén)邏輯功能的測(cè)試:“與非門(mén)”邏輯功能的測(cè)試:在學(xué)習(xí)機(jī)上插入74LS10芯片,任選一個(gè)三輸入端“與非門(mén)”按表1完成邏輯功能的測(cè)試(輸入“1”態(tài)可懸空或接5V,“0”態(tài)接地)。表1輸入邏輯狀態(tài)輸出邏輯A B C1 1 10 1 10 0 10 0 0狀態(tài)電位(V)用“與或非”門(mén)實(shí)現(xiàn)Z=AB+C的邏輯功能:在學(xué)習(xí)機(jī)上插入74LS54芯片,做Z=AB+

2、C邏輯功能的測(cè)試,完成表2的功能測(cè)試并記錄。表2輸入邏輯狀態(tài)輸出邏輯A B C1 1 10 1 10 0 10 0 0狀態(tài)電位(V)注意:測(cè)試前應(yīng)將與或非門(mén)不用的與門(mén)組做適當(dāng)處理。2“門(mén)”控制功能的測(cè)試:“與非”門(mén)控制功能的測(cè)試:按圖1接線(xiàn),設(shè)A為信號(hào)輸入端,輸入單脈沖,B為控制端接控制邏輯電平“0”或“1”。輸出端Z接發(fā)光二極管(LED)進(jìn)行狀態(tài)顯示,高電平時(shí)亮。按表3進(jìn)行測(cè)試,總結(jié)“封門(mén)”“開(kāi)門(mén)”的規(guī)律。圖1 “與非門(mén)”控制功能測(cè)試電路表3ABZABZ0101000001011111用“與非門(mén)”組成下列電路,并測(cè)試它們的功能“或”門(mén):Z=A+B“與”門(mén):Z=AB“或非”門(mén):Z=A+B“與或

3、”門(mén):Z=AB+CD要求:畫(huà)出電路圖和測(cè)試記錄表格,并完成邏輯功能的測(cè)試,總結(jié)控制功能的規(guī)律。四、 預(yù)習(xí)要求:要求認(rèn)真閱讀實(shí)驗(yàn)指導(dǎo)書(shū)并完成要求自擬的實(shí)驗(yàn)電路和測(cè)試記錄表格,本實(shí)驗(yàn)屬于一般驗(yàn)證性實(shí)驗(yàn),學(xué)生應(yīng)對(duì)所有測(cè)試表的結(jié)果可預(yù)先填好,實(shí)驗(yàn)時(shí)只做驗(yàn)證,且可做到胸中有數(shù),防止盲目性,增加自覺(jué)性。五、 實(shí)驗(yàn)報(bào)告要求:總結(jié)“與非”、“與”、“或”、“或非”門(mén)的控制功能。六、 思考題:1 為什么TTL與非門(mén)的輸入端懸空則相當(dāng)于輸入邏輯“1”電平,CMOS與非門(mén)能否這樣處理?2 與或非門(mén)不用的與門(mén)組如何處理?實(shí)驗(yàn)二 組合邏輯電路設(shè)計(jì)一、 實(shí)驗(yàn)?zāi)康模?學(xué)會(huì)用集成TTL門(mén)構(gòu)成組合邏輯電路。2通過(guò)實(shí)驗(yàn)手段實(shí)現(xiàn)所

4、設(shè)計(jì)的電路。二、 實(shí)驗(yàn)內(nèi)容:1. 設(shè)計(jì)全加器:用雙四選一數(shù)據(jù)選擇器74LS153與門(mén)電路結(jié)合設(shè)計(jì)加法器設(shè)A為被加數(shù),B為加數(shù),Cn-1為上位進(jìn)位輸入,F(xiàn)為A+B的結(jié)果,Cn為本位進(jìn)位輸出。1)功能真值表如下:ABCn-1FCn00000100100101011001001101010101101111112)給出表達(dá)式3)畫(huà)出邏輯電路圖4)根據(jù)實(shí)驗(yàn)結(jié)果,完成加法器波形圖:(始終頻率由大到小應(yīng)為被加數(shù),加數(shù),進(jìn)位輸入。 F Cn2.設(shè)計(jì)一個(gè)能完成如下功能的發(fā)電機(jī)組供電控制電路:某工廠(chǎng)有四臺(tái)用電設(shè)備:A、B、C、D其中A設(shè)備用電5KWB設(shè)備用電10KWC設(shè)備用電12KWD設(shè)備用電18KW使用過(guò)程中

5、不允許A、B兩臺(tái)用電設(shè)備同時(shí)使用。現(xiàn)有供電機(jī)組三臺(tái):x容量10KWy容量15KWz容量20KW為了節(jié)約能源,要求按用電狀況合理啟動(dòng)供電機(jī)組,設(shè)計(jì)出供電機(jī)組控制邏輯(1表示供電和用電,0表示不供電不用電)。用與非與非式實(shí)現(xiàn)。三、實(shí)驗(yàn)前的準(zhǔn)備:1復(fù)習(xí)組合電路的設(shè)計(jì)方法。2根據(jù)任務(wù)要求設(shè)計(jì)邏輯電路,擬定實(shí)驗(yàn)步驟,提出器材名單。3復(fù)習(xí)組合電路競(jìng)爭(zhēng)冒險(xiǎn)現(xiàn)象產(chǎn)生的原因及消除方法。四、實(shí)驗(yàn)報(bào)告要求:1寫(xiě)出設(shè)計(jì)過(guò)程,畫(huà)出電路邏輯圖,記錄實(shí)驗(yàn)驗(yàn)證結(jié)果。2總結(jié)實(shí)驗(yàn)中所出現(xiàn)的問(wèn)題,分析原因及解決方法。3分析所設(shè)計(jì)的電路能否出現(xiàn)競(jìng)爭(zhēng)冒險(xiǎn)現(xiàn)象。實(shí)驗(yàn)三 集成觸發(fā)器一、實(shí)驗(yàn)?zāi)康模赫莆栈綬S、JK、D及T'觸發(fā)器的

6、邏輯功能。二、實(shí)驗(yàn)任務(wù)與步驟:1基本RS觸發(fā)器邏輯功能的測(cè)試:基本RS觸發(fā)器常與機(jī)械按鈕開(kāi)關(guān)相配合構(gòu)成去彈跳按鈕開(kāi)關(guān),用以產(chǎn)生單脈沖做單脈沖源使用。學(xué)習(xí)機(jī)上使用的單脈沖就是這樣產(chǎn)生的。如圖3所示,圖4則表明它不能給出清晰的單脈沖。圖3 基本RS觸發(fā)器圖4 簡(jiǎn)單邏輯開(kāi)關(guān)給出的有彈跳信號(hào)按表4完成圖3電路的功能測(cè)試,SW按鈕開(kāi)關(guān)可用一端接地的引線(xiàn)代替,將引線(xiàn)的另一端由S端移向R端一次,相當(dāng)于手按了一下SW按鈕開(kāi)關(guān)。R、S上的“”號(hào)表明低電平激勵(lì),高電平不起作用。表4R SQQ0 00 11 01 12集成JK觸發(fā)器圖5是JK觸發(fā)器的邏輯符號(hào)圖,其中:SD為異步置位端,小圓圈表示低電平有效。RD為異

7、步清除(復(fù)位)端。JK為同步控制輸入端。它們只有在SD,RD為高電平時(shí)才起作用,JK的狀態(tài)將告訴觸發(fā)器在下一個(gè)時(shí)鐘脈沖作用時(shí)該怎樣動(dòng)作。請(qǐng)注意CP輸入端的小圓圈代表CP脈沖下降沿起作用。三角符號(hào)表示該觸發(fā)器為邊沿觸發(fā)。如果JK端超過(guò)一個(gè),它們之間是J1、J2相與或K1、K2相與的關(guān)系,這將為實(shí)現(xiàn)不同的控制邏輯提供了方便。圖5 JK觸發(fā)器邏輯符號(hào)(1)異步置位、復(fù)位功能測(cè)試:按照表5完成JK觸發(fā)器異步置位和異步復(fù)位功能的測(cè)試。表5 異步動(dòng)作表SDRDQQ110011010100注意:74LS112芯片的PR端為Sd端,CLR端為Rd端。(2)同步JK功能的測(cè)試:請(qǐng)按表6完成同步JK功能的測(cè)試:表

8、6 同步工作的JK功能表(同步表)tntn+1輸入輸出JKCPQn=0Qn=1000 1禁止方式復(fù)位方式置位方式反復(fù)方式010 1100 1110 1 注:tn表示時(shí)鐘脈沖來(lái)到前的時(shí)刻;tn+1則是指時(shí)鐘脈沖向低電平跳變之后的某時(shí)刻。(3)將JK觸發(fā)器接成計(jì)數(shù)器工作狀態(tài)(T'觸發(fā)器):圖6 D觸發(fā)器邏輯符號(hào)CP端輸入方波信號(hào)觀察輸入和輸出端(Q、Q)的波形,并將它們畫(huà)在同一張方格紙上,注意它們的相位關(guān)系與時(shí)間關(guān)系。3集成D觸發(fā)器:集成D觸發(fā)器邏輯符號(hào)如圖6所示。完成下列實(shí)驗(yàn)任務(wù):(1)異步置位端SD和異步復(fù)位端RD功能測(cè)試:按表7要求改變SD和RD(D及CP處于任意狀態(tài)),并在SD和R

9、D作用期間任意改變D與CP的狀態(tài),測(cè)試SD和RD的功能,將測(cè)試結(jié)果記錄于表中。表7 D觸發(fā)器強(qiáng)制置位復(fù)位功能表SDRDQQ110011010100(2)D觸發(fā)器功能的測(cè)試:按表8測(cè)試D觸發(fā)器邏輯功能并記錄于表中:表8 D觸發(fā)器邏輯功能表DCPQn+1Qn=0Qn=10011010110(3)將D觸發(fā)器的Q端與D端相連,接成計(jì)數(shù)器狀態(tài),CP端輸入方波信號(hào),觀察輸入與輸出端(Q、Q的波形,把它們畫(huà)在同一張方格紙上,注意它們之間的相位關(guān)系與時(shí)間關(guān)系)。三、實(shí)驗(yàn)設(shè)備:1數(shù)字邏輯學(xué)習(xí)機(jī)。2萬(wàn)用表。四、實(shí)驗(yàn)報(bào)告要求:總結(jié)基本RS觸發(fā)器,JK觸發(fā)器,D觸發(fā)器的邏輯功能。了解JK、D、RS觸發(fā)器后,設(shè)計(jì)一個(gè)R

10、S JK的電路,畫(huà)出電路圖,并驗(yàn)證其功能。實(shí)驗(yàn)四 計(jì)數(shù)器一、實(shí)驗(yàn)?zāi)康模?了解時(shí)序電路的設(shè)計(jì)方法和步驟,掌握計(jì)數(shù)器的工作原理,研究自啟動(dòng)問(wèn)題。2掌握不同類(lèi)型計(jì)數(shù)器設(shè)計(jì)、調(diào)試方法,進(jìn)一步掌握數(shù)字示波器測(cè)量多路波形方法。3雙J-K負(fù)沿觸發(fā)器的工作特性二、實(shí)驗(yàn)器件:1)雙J-K負(fù)沿觸發(fā)器2) 二輸入四與非門(mén)3)六反相器三、實(shí)驗(yàn)設(shè)計(jì)內(nèi)容用雙J-K負(fù)沿觸發(fā)器設(shè)計(jì)一個(gè)可控五進(jìn)制計(jì)數(shù)器,要求:1.當(dāng)控制端 A=1 時(shí),實(shí)現(xiàn)下述的狀態(tài):Q0Q1Q2 000à100à110à111à011à0002當(dāng)控制端 A=0 時(shí),實(shí)現(xiàn)下述狀態(tài):Q0Q1Q2 000à

11、;100à110à010à011à000測(cè)試并記錄時(shí)鐘 CK、 Q0、 Q1、 Q2的波形。3.測(cè)試 J-K 觸發(fā)器的外特性, 并記錄波形.四、設(shè)計(jì)過(guò)程1 .畫(huà)出原始狀態(tài)圖:2.求出激勵(lì)函數(shù)和激勵(lì)方程:3.畫(huà)出邏輯電路圖4.實(shí)驗(yàn)結(jié)果記錄1)J-K 負(fù)沿觸發(fā)器 74LS114 外特性測(cè)試:2)J-K 負(fù)沿觸發(fā)器實(shí)驗(yàn)波形紀(jì)錄:J-K 負(fù)沿觸發(fā)器( CP=500KHZ) A=1:J-K 負(fù)沿觸發(fā)器( CP=500KHZ) A=0:五實(shí)驗(yàn)報(bào)告要求:1寫(xiě)出可控五計(jì)數(shù)器的設(shè)計(jì)過(guò)程。2畫(huà)出用雙J-K負(fù)沿觸發(fā)器74LS114設(shè)計(jì)可控五計(jì)數(shù)器的邏輯電路圖。3總結(jié)實(shí)驗(yàn)中出現(xiàn)

12、的問(wèn)題,分析原因及解決方法。第二章 高密度可編程器件實(shí)驗(yàn)高密度可編程器件是目前國(guó)內(nèi)外通用的硬件電路設(shè)計(jì)方法,也是學(xué)習(xí)電路設(shè)計(jì)的重要內(nèi)容。通過(guò)學(xué)習(xí)本章,將為今后硬件設(shè)計(jì)打下良好的基礎(chǔ)。 應(yīng)注意不同層次的編程方法,應(yīng)注意體會(huì)并靈活運(yùn)用?!拘酒?jiǎn)介】使用的芯片是 Altera Corporation 生產(chǎn)的 MAXEPM7128SLC-15。它包含 128 個(gè)宏單元,相當(dāng)于 2000 個(gè)邏輯門(mén)。具有不同的封裝形式(實(shí)驗(yàn)一般采用 PLCC84 封裝)。芯片在使用和燒錄時(shí)均使用 5V 直流電源。在芯片的 84 個(gè)管腳中,有8個(gè)管腳是用來(lái)接電源的,分別是管腳 3、 13、26、 38、 43、 53、 6

13、6、 78,它們?cè)谛酒苣_標(biāo)注上被標(biāo) 記 為 VCCIO 或VCCINT。還有 8 個(gè)管腳用來(lái)接地,分別是管腳 7、19、 32、 42、 47、 59、 72、82,標(biāo)記為 GND。管腳14、 23、 62、 71 為燒錄時(shí)與計(jì)算機(jī)并口連接端口。管腳 2、 83 為外部時(shí)鐘輸入。管腳 1 為芯片全局清零。其余 61 個(gè)管腳為芯片的 I/O 接口,用作與外部的輸入輸出交換數(shù)據(jù)。芯片外觀及管腳定義見(jiàn)下圖實(shí)驗(yàn)五 碼制轉(zhuǎn)換器的設(shè)計(jì)與實(shí)現(xiàn)一、 基本知識(shí)點(diǎn)1、 了解 CPLD 器件的特性2、 VHDL 語(yǔ)言的編程思想及調(diào)試方法3、 QUARTUS 軟件的使用方法二、實(shí)驗(yàn)器件EPM7128 芯片三、實(shí)驗(yàn)內(nèi)

14、容應(yīng)用 VHDL 語(yǔ)言設(shè)計(jì)實(shí)現(xiàn)新的數(shù)電實(shí)驗(yàn),定義管腳,并且燒錄在 EPM7128SLC-15或 ATF1508 上檢驗(yàn)正確性。四、 設(shè)計(jì)要求設(shè)計(jì)一個(gè)雙向轉(zhuǎn)換電路,完成 8421<>格雷碼的互換。同時(shí)設(shè)計(jì)一個(gè)四位二進(jìn)制計(jì)數(shù)器產(chǎn)生 8421 碼進(jìn)行測(cè)試,并且留出輸出的測(cè)試點(diǎn)。五、 過(guò)程分析1、 8421 與 Gray 碼的互相轉(zhuǎn)換真值表:表3.1 8421 與 Gray 碼的互相轉(zhuǎn)換真值表1、 表達(dá)式: 8421->Gray: G3=B3 G2=B2 XOR B3G1=B1 XOR B2 G0=B0 XOR B1 Gray->8421B3=G3B2=G2 XOR B3 B1

15、=G1 XOR B2B0=G0 XOR B12、 設(shè)計(jì)思路:時(shí)鐘的每次觸發(fā)都完成一次計(jì)數(shù)的增加,并且把計(jì)數(shù)的結(jié)果作為碼制轉(zhuǎn)換的輸入直接進(jìn)行碼制轉(zhuǎn)換。其中 SEL 是碼制轉(zhuǎn)換的選擇端, 1 表示 8421->Gray; 0 則反之。這可以用 IF-THEN-ELSE 的結(jié)構(gòu)來(lái)完成。另外計(jì)數(shù)結(jié)果也作為輸出以便測(cè)試。六、程序代碼-*library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;-*entity Gray8421 isport(switch

16、 :in std_logic; -1:8421 ->Gray;0:Gray->8421ck:in std_logic; -時(shí)鐘輸入ind:in std_logic_vector(3 downto 0); -轉(zhuǎn)換輸入點(diǎn)q:out std_logic_vector(3 downto 0); -二進(jìn)制計(jì)數(shù)輸出的測(cè)試點(diǎn)dst:out std_logic_vector(3 downto 0) -碼制轉(zhuǎn)換的輸出測(cè)試點(diǎn));end Gray8421;-*architecture a of Gray8421 isbeginprocess(ck)variable inc:unsigned(3 down

17、to 0); -計(jì)數(shù)器內(nèi)部計(jì)數(shù)beginif ck'event and ck='1' theninc:=inc+1; -計(jì)數(shù)器內(nèi)部計(jì)數(shù)end if;q<=std_logic_vector(inc); -把計(jì)數(shù)器的計(jì)數(shù)結(jié)果輸出dst(3)<=ind(3); -以下完成碼制轉(zhuǎn)換dst(2)<=ind(2) xor ind(3);if switch='1' thendst(1)<=ind(1) xor ind(2);dst(0)<=ind(0) xor ind(1);elsedst(1)<=ind(1) xor ind(2)

18、 xor ind(3);dst(0)<=ind(0) xor ind(1) xor ind(2) xor ind(3);end if;end process;end a;-*七、 實(shí)驗(yàn)方法1、將編譯好的程序下載到 EPM7128(或 ATF1508) 中。2、按照芯片管腳圖接線(xiàn)。 Switch 接 K0,ck 接時(shí)鐘。3、用手動(dòng)置輸入碼,改變 Switch 觀察輸出轉(zhuǎn)換是否正確。4、用可編輯數(shù)字信號(hào)發(fā)生器分別產(chǎn)生 2Hz 的 8421 碼和 Gray 碼進(jìn)行轉(zhuǎn)換,并將輸入輸出接入 LED 觀察。5、 ck 接入 100KHz,將 q3q0 連接 ind3ind0,改變 Switch, 用

19、數(shù)字信號(hào)顯示儀觀察 q3q0;dst3dst0。實(shí)驗(yàn)六:任選實(shí)驗(yàn)仿照實(shí)驗(yàn)五的實(shí)驗(yàn)過(guò)程,從下列實(shí)驗(yàn)中任選其一進(jìn)行編程實(shí)現(xiàn),并進(jìn)行仿真。(1) 雙向移位寄存器。一、基本知識(shí)點(diǎn)1、了解 CPLD 器件的特性2、 VHDL 語(yǔ)言的編程思想及調(diào)試方法3、 QUARTUS 軟件的使用方法二、實(shí)驗(yàn)器件EPM7128 芯片三、 設(shè)計(jì)要求設(shè)計(jì)一個(gè)雙向移位寄存器。并且將各個(gè)管腳留出對(duì)應(yīng)的測(cè)試點(diǎn),以便檢驗(yàn)。(2) 節(jié)拍或序列發(fā)生器一、 基本知識(shí)點(diǎn)1、了解 CPLD 器件的特性2、 VHDL 語(yǔ)言的編程思想及調(diào)試方法3、 QUARTUS 軟件的使用方法二、實(shí)驗(yàn)器件EPM7128 芯片三、 設(shè)計(jì)要求設(shè)計(jì)一個(gè)四相序列發(fā)生器,要求產(chǎn)生如下波形。四相序列發(fā)生器波形圖(3) 數(shù)字頻率計(jì)數(shù)器設(shè)計(jì)一、基本知識(shí)點(diǎn)1、了解 CPLD 器件的特性2、 VHDL 語(yǔ)言的編程思想及調(diào)試方法3、 QUARTUS 軟件的使用方法二、實(shí)驗(yàn)器件EPM7128 芯片三、 設(shè)計(jì)要求設(shè)計(jì)一個(gè) 8 拍節(jié)拍發(fā)生器,要求產(chǎn)生如下 8 個(gè)連續(xù)波形(4) 汽車(chē)尾燈控制器的設(shè)計(jì)一、基本知識(shí)點(diǎn)1、了解 CPLD 器件的特性2、 VHDL 語(yǔ)言的編程思想及調(diào)試方法3、 QUARTUS 軟件的使用方法二、實(shí)驗(yàn)器件EPM7128 芯片三、 設(shè)計(jì)要求設(shè)計(jì)汽車(chē)尾燈的模擬程序。(5) 電梯控制器的設(shè)計(jì)一、基本知識(shí)點(diǎn)1、了解 CPLD 器件

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶(hù)所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶(hù)上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶(hù)因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論