流水燈VHDL(精編版)_第1頁
流水燈VHDL(精編版)_第2頁
流水燈VHDL(精編版)_第3頁
已閱讀5頁,還剩3頁未讀 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、基于 vhdl流水燈的設(shè)計電子信息科學(xué)與技術(shù)劉敏 何磊 成江波一、 設(shè)計內(nèi)容流水燈是一串按一定的規(guī)律像流水一樣連續(xù)閃亮,流水燈控制是可編程控制器的一個應(yīng)用, 其控制思想在工業(yè)控制技術(shù)領(lǐng)域也同樣適用。流水燈控制可用多種方法實現(xiàn), 但對現(xiàn)代可編程控制器而言, 基于 eda 技術(shù)的流水燈設(shè)計也是很普遍的。要求采用可編程邏輯器件實現(xiàn)一個流水燈控制電路,12個 led燈能連續(xù)發(fā)出 4 種不同的流水顯示形式。 彩燈控制器的第 1 種花樣為彩燈按順時針方向逐次點亮; 第 2 種花樣為彩燈按逆時針方向逐次點亮,然后全滅全亮; 第 3 種花樣為彩燈兩邊同時亮1、2、3、4、5、6 個逐次向中間移動再散開;第4

2、種花樣為彩燈連續(xù)交叉閃爍。多個花樣自動變換,循環(huán)往復(fù)。二、 設(shè)計方案彩燈是由 fpga 板上的 led燈代替,有以下 4 種閃爍效果1. 彩燈按順時針方向逐次點亮。2. 彩燈按逆時針方向逐次點亮,然后全滅全亮。3. 彩燈兩邊同時亮 1、2、3、4、5、6 個逐次向中間移動再散開。4. 彩燈連續(xù)交叉閃爍。本控制電路采用 vhdl語言設(shè)計。運用自頂而下的設(shè)計思想,按功能逐層分割實現(xiàn)層次化設(shè)計。 根據(jù)多路彩燈控制器的設(shè)計原理,分別對應(yīng)彩燈的四種變化模式、利用 vhdl 語言實現(xiàn)該功能 .三、 系統(tǒng)框圖脈沖信號順序脈沖譯碼邏輯電路流水燈閃爍四、 流水燈設(shè)計程序library ieee;use led1

3、 is port( sysclk: in std_logic; dout: out std_logic_vector(11 downto 0) );end led1;architecture jgt of led1 issignal cnt : std_logic_vector ( 7 downto 0);-variable count:integer range 0 to 7;signal count : std_logic_vector(5 downto 0); beginprocess(sysclk)begin if ( sysclkevent and sysclk = 1) thenc

4、nt = cnt + 1;if (cnt = ) thencnt = 00000000 ;count dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout

5、 dout dout dout dout dout dout dout dout dout dout dout dout dout dout null;end case;end process;end jgt; 五、 系統(tǒng)仿真與調(diào)試1. 仿真波形圖通過 quartusii軟件,我們進行了仿真,其仿真波形如下圖:圖一 : 仿真波形圖由設(shè)計要求可知,本設(shè)計要求采用可編程邏輯器件實現(xiàn)一個流水燈控制電路,12 個 led燈能連續(xù)發(fā)出4 種不同的流水顯示形式。彩燈控制器的第1 種花樣為彩燈按順時針方向逐次點亮; 第 2 種花樣為彩燈按逆時針方向逐次點亮,然后全滅全亮; 第 3 種花樣為彩燈兩邊同時亮 1

6、、 2、3、4、5、6 個逐次向中間移動再散開;第4 種花樣為彩燈連續(xù)交叉閃爍。多個花樣自動變換,循環(huán)往復(fù)。從仿真的波形可以看出,實現(xiàn)了相應(yīng)的功能。2. 電路原理圖在 quartusii軟件中利用硬件描述語言描述電路后,用 rtl viewers 生成的對應(yīng)的電路圖如下所示:+a7.0b7.0adder+a5.0b5.0adderdqpreenaclrdqpreenaclr=a7.0b7.0equalsel5.0data63.0outmuxsel5.0data63.0outmuxsel5.0data63.0outmuxsel5.0data63.0outmuxsel5.0data63.0outm

7、uxsel5.0data63.0outmuxsel5.0data63.0outmuxsel5.0data63.0outmuxsel5.0data63.0outmuxsel5.0data63.0outmuxsel5.0data63.0outmuxsel5.0data63.0outmuxseldataadatabout0mux21add08 h01 -cnt7.0equal08 hf7 -mux264 haaaa255df6ffddff -mux364 h55552b5eeeffbeff -mux464 haaaa1bbf5eff7f7f -mux564 h55555bbfbefeffbf -mu

8、x664 haaaadbbfbefdffdf -mux764 h55559bbf5efbffef -mux864 haaaaab5eeef7fff7 -mux964 h5555a55df6effffb -mux1064 haaaaa4ebfadffffd -mux1164 h5555a4e7fcbffffe -sysclkdout11.0cnt7.08 h00 -mux164 h555524ebfaffebff -mux064 haaaa24e7fcfff7ff -count5.0add16 h01 -圖二: 用 rtl viewers 生成的電路圖六、設(shè)計總結(jié)通過本次設(shè)計明白了vhdl 語言

9、的實用性,同時對quartusii有了進一步的了解。雖然在設(shè)計過程種遇到了許多麻煩,比如語言編寫的錯誤, 思路想法的偏離. 但通過問同學(xué),老師,以及上網(wǎng)了解后最終還是糾正了這些錯誤。不過通過不斷的檢查和咨詢, 最終還是編寫成功了。 也通過本次彩燈設(shè)計讓我知道了日常生活種各種花樣燈的工作模式,希望能夠通過接下來的學(xué)習(xí), 自己能夠設(shè)計出生活中實用的樣式燈。通過這次課程設(shè)計, 可以很好的把各個章節(jié)的模塊融合到一起,對以后的學(xué)習(xí),設(shè)計很有幫助,感覺自己在動手操作方面有質(zhì)上的飛躍。不僅掌握quartusii軟件的使用,與此同時,還對電子設(shè)計的思路有了更多的認識。通過對 eda設(shè)計中的 top-down設(shè)

10、計方式的運用,體會到了對于一個大型系統(tǒng)的設(shè)計方案選取應(yīng)從頂向下的設(shè)計思路,這與傳統(tǒng)的至底向上的設(shè)計方式有很大改進,且設(shè)計效率得到大大提高。 通過這次的實驗, 理解了電子技術(shù)設(shè)計的設(shè)計多種方法和流程,夯實了 quartusii的操作流程。很顯然,任何的實踐活動,都不可能閉門造車, 是必須去吸取前人的實踐經(jīng)驗,這就要求在課程設(shè)計的過程中,從網(wǎng)絡(luò)上,從圖書館,借尋相關(guān)資料書籍等,有力地指導(dǎo)課程設(shè)計。這就要求在最后的大學(xué)時間里, 要繼續(xù)夯實相關(guān)的理論知識,繼續(xù)多動手操作, 提高具體的實踐操作能力,為即將畢業(yè)的工作出路,做好充分的準備。七、參考文獻1 許飛.eda技術(shù)與實踐 m, 北京: 清華大學(xué)出版社, 20112 黃智偉 . 全國大學(xué)生電子設(shè)計競賽制作實訓(xùn)m, 北京 : 北京航空航天大學(xué)出版

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論