數(shù)字邏輯第四章課后答案_第1頁
數(shù)字邏輯第四章課后答案_第2頁
數(shù)字邏輯第四章課后答案_第3頁
數(shù)字邏輯第四章課后答案_第4頁
數(shù)字邏輯第四章課后答案_第5頁
已閱讀5頁,還剩17頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認(rèn)領(lǐng)

文檔簡介

1、盛建倫:數(shù)字邏輯與VHDL邏輯設(shè)計習(xí)題解答習(xí)題4解答4-1試用與非門設(shè)計實現(xiàn)函數(shù)F(A,B,C,D)=m(0,2,5,8,11,13,15)的組合邏輯電路。解:首先用卡諾圖對函數(shù)進行化簡,然后變換成與非-與非表達(dá)式。AB1001CD00 0100 01010011 100110101011 10&&&&&&&化簡后的函數(shù)&& 4-2試用邏輯門設(shè)計三變量的奇數(shù)判別電路。若輸入變量中1的個數(shù)為奇數(shù)時,輸出為1,否則輸出為0。解:本題的函數(shù)不能化簡,但可以變換成異或表達(dá)式,使電路實現(xiàn)最簡。真值表: 邏輯函數(shù)表達(dá)式:A B CY=

2、1=1ABCY邏輯圖0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1011010014-3用與非門設(shè)計四變量多數(shù)表決電路。當(dāng)輸入變量A、B、C、D有三個或三個以上為1時輸出為1,輸入為其他狀態(tài)時輸出為0。解:真值表: 先用卡諾圖化簡,然后變換成與非-與非表達(dá)式:AB0000CD00 0100 01001011 100100111011 10A B C DY邏輯圖&&&&&0 0 0 00 0 0 1 0 0 1 00 0 1 10 1 0 00 1 0 10 1 1 00 1 1 11 0 0 01 0 0 1 1 0 1

3、 01 0 1 11 1 0 01 1 0 11 1 1 01 1 1 10000000100010111邏輯函數(shù)表達(dá)式: 4-4 用門電路設(shè)計一個代碼轉(zhuǎn)換電路,輸入為4位二進制代碼,輸出為4位循環(huán)碼。解:首先根據(jù)所給問題列出真值表,然后用卡諾圖化簡邏輯函數(shù),按照化簡后的邏輯函數(shù)畫邏輯圖。真值表: 卡諾圖化簡:AB0000CD00 0100 01000011 101111111111 10A B C DY1 Y2 Y3 Y4AB0011CD00 0100 01001111 100011001111 10Y1的卡諾圖 0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0

4、 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 10 0 0 00 0 0 10 0 1 10 0 1 00 1 1 00 1 1 10 1 0 10 1 0 01 1 0 01 1 0 11 1 1 11 1 1 01 0 1 01 0 1 11 0 0 11 0 0 0AB0101CD00 0100 01010111 100101010111 10Y3的卡諾圖AB0011CD00 0100 01110011 101100001111 10Y4的卡諾圖Y2的卡諾圖邏輯

5、圖 化簡后的邏輯函數(shù):=1=1=14-5 圖4.48所示是一個由兩臺水泵向水池供水的系統(tǒng)。水池中安置了A、B、C三個水位傳感器。當(dāng)水池水位低于C點時,兩臺水泵同時供水。當(dāng)水池水位低于B點且高于C點時,由水泵M1單獨供水。當(dāng)水池水位低于A點且高于B點時,由水泵M2單獨供水。當(dāng)水池水位高于A點時,兩臺水泵都停止供水。試設(shè)計一個水泵控制電路。要求電路盡可能簡單。圖4.48 習(xí)題4-5的示意圖解:設(shè)水位低于傳感器時,水位傳感器的輸出為1,水位高于傳感器時,水位傳感器的輸出為0。A0×0×BC00 010 1××1111 10A0×1×BC00

6、 010 1××1011 10首先根據(jù)所給問題列出真值表。其中有幾種情況是不可能出現(xiàn)的,用約束項表示。A B CM1 M2M1的卡諾圖M2的卡諾圖0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 10 0× ×× ×× ×0 1× ×1 01 1 如果利用約束項化簡 如果不利用約束項化簡邏輯圖=&&1&1 (a) 用約束項化簡 (b) 不用約束項化簡習(xí)題4-5的邏輯圖4-6 試用3線-8線譯碼器74HC138和門電路實現(xiàn)如下多輸出邏輯函數(shù)并畫出

7、邏輯圖。解:先將邏輯函數(shù)變換成最小項之和的形式邏輯圖再變換成與74HC138一致的形式1CBAY1&&&Y2Y3&Y4 令74HC138的A2= A,A1=B,A0= C,4-7 試用3線-8線譯碼器74HC138和邏輯門設(shè)計一組合電路。該電路輸入X,輸出Y均為3位二進制數(shù)。二者之間關(guān)系如下:當(dāng)2X<7時, Y=X2X<2時, Y=1X=7時, Y=6邏輯圖解:首先根據(jù)所給問題列出真值表。1X0X1X2Y2 A2A1A074HC138&Y1Y0&&X2 X1 X0Y2 Y1 Y00 0 00 0 10 1 00 1 11 0

8、01 0 11 1 01 1 10 0 10 0 10 0 00 0 10 1 00 1 11 0 01 1 0邏輯函數(shù):4-8 試用4選1數(shù)據(jù)選擇器產(chǎn)生邏輯函數(shù)解:將邏輯函數(shù)變換成最小項之和的形式若用輸入變量AB作為地址,C作為數(shù)據(jù)輸入,則 即A1=A,A0=B,D0= D2= ,D1=1,D3=C。邏輯圖如下圖(a)所示。若用輸入變量AC作為地址, B作為數(shù)據(jù)輸入,則即A1=A,A0=C,D0=1, D2= ,D1=D3= B。邏輯圖如下圖(b)所示。 A1 A0 D3 D2 D1 D0 4選1 數(shù)據(jù)選擇器 Y 1 A1 A0 D3 D2 D1 D0 4選1 數(shù)據(jù)選擇器 Y 1(a) AB

9、作為地址 (b) AC作為地址4-9 分析圖4.49所示電路,寫出輸出Y的邏輯函數(shù)式并化簡。A0 D0 D1 D2 D3 D4 D5 D6 D7 A1 8選1 數(shù)據(jù)選擇器A2 F 圖4.49 習(xí)題4-9的電路解:8選1數(shù)據(jù)選擇器C =A2,B=A1,A =A0,D7= D3 =0,D2=1,D5=D4= D1= D0=D ,D6= , 邏輯函數(shù)卡諾圖化簡DC0000BA00 0100 01010111 101111000111 10 化簡后的邏輯函數(shù)4-10 試用8選1數(shù)據(jù)選擇器產(chǎn)生邏輯函數(shù) 解:令A(yù)=A2,B=A1,C=A0,D7= D5= D2= D1=1,D6= D4= D3= D0=0

10、,邏輯圖A2 D0 D1 D2 D3 D4 D5 D6 D7 A1 8選1 數(shù)據(jù)選擇器A0 Z 4-11 試用3線-8線譯碼器74HC138和最少數(shù)量的二輸入邏輯門設(shè)計一個不一致電路。當(dāng)A、B、C 三個輸入不一致時,輸出為1,三個輸入一致時,輸出為0。解:首先根據(jù)所給問題列出真值表。真值表:A B CY0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 101111110如果直接按照真值表寫出邏輯函數(shù)表達(dá)式,很難用二輸入邏輯門實現(xiàn)。但是,觀察真值表不難發(fā)現(xiàn),真值表中只有兩行的Y為0,因此,按照真值表寫出反函數(shù)表達(dá)式,應(yīng)該容易用二輸入邏輯門實現(xiàn)。邏輯函數(shù)表達(dá)式: 題目要

11、求用3線-8線譯碼器74HC138實現(xiàn),而74HC138的每個輸出對應(yīng)一個最小項的反,因此,還必須把邏輯函數(shù)式變換成與74HC138的邏輯函數(shù)相同的形式。邏輯圖邏輯圖邏輯圖 A2A1A0&1ABCY74HC1384-12 試用8選1數(shù)據(jù)選擇器產(chǎn)生邏輯函數(shù)解:如果用ABC作為數(shù)據(jù)選擇器的地址(A=A2,B=A1,C=A0),D作為數(shù)據(jù),則函數(shù)變換成A0 D0 D1 D2 D3 D4 D5 D6 D7 A1 8選1 數(shù)據(jù)選擇器A2 F D7= D6=D3 =1, D5= D0=0,D4= D1=D , D2= ,如果用BCD作為數(shù)據(jù)選擇器的地址(B=A2,C=A1,D=A0),A作為數(shù)據(jù),

12、則函數(shù)變換成A0 D0 D1 D2 D3 D4 D5 D6 D7 A1 8選1 數(shù)據(jù)選擇器A2 F D7= D6= D4=1,D2= D0=0,D5= D1=A, D3 =4-13 根據(jù)表4.23所示的功能表設(shè)計一個函數(shù)發(fā)生器電路,用8選1數(shù)據(jù)選擇器實現(xiàn)。表4.23 習(xí)題4-13的功能表S1 S0Y0 00 11 01 1ABA·BA+B解:首先根據(jù)所給問題列出真值表。 真值表: 卡諾圖化簡S1S01000AB00 0100 01101011 100101011111 10S1 S0 A BY0 0 0 00 0 0 1 0 0 1 00 0 1 110010 1 0 00 1 0

13、10 1 1 00 1 1 100011 0 0 01 0 0 1 1 0 1 01 0 1 101111 1 0 01 1 0 11 1 1 01 1 1 10110 化簡后用S1AB作為地址,S0作為數(shù)據(jù)輸入,即S1=A2,A= A1,B = A0。函數(shù)變換為1A0 D0 D1 D2 D3 D4 D5 D6 D7 A1 8選1 數(shù)據(jù)選擇器A2 F D6= D5= D3 = 1,D1=D2= D4=0,D7= D0= ,因此,畫出邏輯圖如下:邏輯圖 4-14 圖4.50所示是由3線8線譯碼器74HC138和8選1數(shù)據(jù)選擇器構(gòu)成的電路。試分析 當(dāng)數(shù)據(jù)C2C1C0= D2D1D0時,輸出F=?

14、當(dāng)數(shù)據(jù)C2C1C0D2D1D0時,輸出F=?74HC138 圖4.50 習(xí)題4-14的電路解: 當(dāng)數(shù)據(jù)C2C1C0= D2D1D0時,輸出F=0 當(dāng)數(shù)據(jù)C2C1C0D2D1D0時,輸出F=1這個電路可以檢驗數(shù)據(jù)C2C1C0與 D2D1D0是否相同。4-15 設(shè)計用3個開關(guān)控制一個電燈的邏輯電路,要求改變?nèi)魏我粋€開關(guān)的狀態(tài)都能控制電燈由亮變滅或者由滅變亮。用數(shù)據(jù)選擇器實現(xiàn)。解:用A、B、C分別表示3個開關(guān)的狀態(tài),Z=1表示電燈亮,Z=0表示電燈滅。令A(yù)BC=000時的狀態(tài)Z=0。(注:此處先用格雷碼寫出變化表比較容易得真值表,初始狀態(tài)也很重要)真值表: 邏輯函數(shù)表達(dá)式:A B CZ0 0 00

15、0 10 1 00 1 11 0 01 0 11 1 01 1 101101001 A1 A0 D3 D2 D1 D0 4選1 數(shù)據(jù)選擇器 Y 13個變量,可以用4選1數(shù)據(jù)選擇器實現(xiàn)。若用輸入變量AB作為地址, C作為數(shù)據(jù)輸入,即A1=A,A0=B,D0= D3=C,D1=D2= 。邏輯圖4-16 試用邏輯門設(shè)計一個帶控制端的半加/半減器,控制端X=1時為半加器,X=0時為半減器。解:根據(jù)所給問題列出真值表。A、B為加/減的兩個數(shù)。做加法運算時,S為半加/半減的和/首先差的輸出,CO為進位輸出。做減法運算時,S為差的輸出,CO為借位輸出。半加器的功能是S=A+B。半減器的功能是S=AB。真值表

16、: X A BS CO0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 10 01 11 00 00 01 01 00 1 =1 &SCOAB =邏輯函數(shù)不能化簡,但是可以變換成異或表達(dá)式。按照變換后的邏輯函數(shù)畫邏輯圖。4-17 試用3線-8線譯碼器74HC138和門電路設(shè)計一個1位二進制全減器電路。輸入是被減數(shù)、減數(shù)和來自低位的借位;輸出是兩數(shù)之差和向高位的借位信號。解:全減器的功能是Si=AiBiCi。首先根據(jù)所給問題列出真值表。真值表: 邏輯函數(shù):Ai Bi CiSi CO0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1

17、0 01 11 10 11 00 00 01 1把邏輯函數(shù)式變換成與74HC138的邏輯函數(shù)相同的形式:CiBiAiSi A2A1A074HC138&CO&邏輯圖4-18試用4位數(shù)據(jù)比較器CC14585設(shè)計一個判別電路。若輸入的數(shù)據(jù)代碼D3D2D1D0>1001時,判別電路輸出為1,否則輸出為0。解:從CC14585的一個端口輸入數(shù)據(jù)D3D2D1D0,另一個端口輸入1001。CC14585的擴展輸入端IA>B和IA=B必須接高電平,IA<B必須接低電平。FD0D1D2D311001邏輯圖4-19 試根據(jù)表4.24的功能表,用邏輯門設(shè)計一個數(shù)據(jù)分配器(Demul

18、tiplexer)。A1、A0為地址輸入,D為數(shù)據(jù)輸入,W3、W2、W1、W0為數(shù)據(jù)輸出。數(shù)據(jù)分配器的功能正好與數(shù)據(jù)選擇器相反,是按照所給的地址把一個輸入數(shù)據(jù)從N個輸出通路中選擇一個輸出,如圖4.51所示。表4.24 習(xí)題4-19的功能表A1 A0 DW3 W2 W1 W00 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 10 0 0 00 0 0 10 0 0 00 0 1 00 0 0 00 1 0 00 0 0 01 0 0 0DeMUX圖4.51 數(shù)據(jù)分配器解:表4.24的功能表可以簡化為A1 A0 W3 W2 W1 W00 00 11 01 10 0 0

19、D0 0 D 00 D 0 0D 0 0 01&&&&1邏輯圖邏輯函數(shù)4-20 試比較圖4.52所示兩個邏輯電路的功能。 &A0 D0 D1 D2 D3 D4 D5 D6 D7 A1 8選1 數(shù)據(jù)選擇器A2 Y 1圖4.52 習(xí)題4-20的電路解:根據(jù)圖4.52寫出邏輯函數(shù)式。 8選1 數(shù)據(jù)選擇器的連接關(guān)系是:D6= D7= D,D1=0,D2= 1,D5= D4= D3= D0= ,A2=A,A1= B,A0= C ,所以,比較FI和F2的,可看出,兩個電路的邏輯函數(shù)相同,所以邏輯功能也相同。4-21 用VHDL設(shè)計一個代碼轉(zhuǎn)換電路,輸入為4位循環(huán)碼,

20、輸出為4位二進制代碼。 解:首先畫出代碼轉(zhuǎn)換電路的系統(tǒng)框圖,如G3 B3 代碼轉(zhuǎn)換電路 G0 B0根據(jù)所給問題列出真值表。G3 G2 G1 G0B3 B2 B1 B00 0 0 00 0 0 10 0 1 10 0 1 00 1 1 00 1 1 10 1 0 10 1 0 01 1 0 01 1 0 11 1 1 11 1 1 01 0 1 01 0 1 11 0 0 11 0 0 0 0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0

21、1 1 0 1 1 1 1 0 1 1 1 1 根據(jù)系統(tǒng)框圖寫VHDL程序的Entity,用行為描述的方法,根據(jù)真值表寫VHDL程序的Architecture。VHDL程序如下:-Gray code to Binary codelibrary ieee;use ieee.std_logic_1164.all;entity gray2binary is port( grayin : in std_logic_vector(3 downto 0); binaryout : out std_logic_vector(3 downto 0);end gray2binary;architecture b

22、ehave of gray2binary isbegin with grayin select binaryout <= "0000" when "0000", "0001" when "0001", "0010" when "0011", "0011" when "0010", "0100" when "0110", "0101" when "0111&quo

23、t;, "0110" when "0101", "0111" when "0100", "1000" when "1100", "1001" when "1101", "1010" when "1111", "1011" when "1110", "1100" when "1010", "1101"

24、 when "1011", "1110" when "1001", "1111" when "1000", end behave;4-22 用VHDL設(shè)計一個代碼轉(zhuǎn)換邏輯電路。把4位二進制代碼轉(zhuǎn)換成7段字符顯示代碼。能顯示數(shù)字09和字母A,b,C,d,E,F(xiàn)。解:電路的輸入是4位代碼,輸出是7位代碼。用D3D0作為輸入信號名,用YaYg作為輸出信號名,分別對應(yīng)a、b、c、d、e、f、g這7個段。Ya Yb Yc Yd Ye Yf Yg代碼轉(zhuǎn)換電路 D3 D2 D1 D0 系統(tǒng)框圖列出代碼轉(zhuǎn)換邏輯

25、的真值表。表4.12 代碼轉(zhuǎn)換邏輯電路的真值表D3 D2 D1 D0Ya Yb Yc Yd Ye Yf Yg顯示字符字形0 0 0 00 0 0 10 0 1 00 0 1 10 1 0 00 1 0 10 1 1 00 1 1 11 0 0 01 0 0 11 0 1 01 0 1 11 1 0 01 1 0 11 1 1 01 1 1 1 1 1 1 1 1 1 0 0 1 1 0 0 0 0 1 1 0 1 1 0 1 1 1 1 1 0 0 1 0 1 1 0 0 1 1 1 0 1 1 0 1 1 1 0 1 1 1 1 1 1 1 1 0 0 0 0 1 1 1 1 1 1 1 1

26、 1 1 0 0 1 1 1 1 1 0 1 1 1 0 0 1 1 1 1 1 1 0 0 1 1 1 0 0 1 1 1 1 0 1 1 0 0 1 1 1 1 1 0 0 0 1 1 10123456789AbCdEF根據(jù)系統(tǒng)框圖設(shè)計VHDL程序的entity,用行為描述的方法,根據(jù)真值表設(shè)計VHDL程序的architecture。library ieee;use ieee.std_logic_1164.all;entity bcd2seg7 is port( bcdin : in std_logic_vector(3 downto 0); segout : out std_logic_

27、vector(6 downto 0);end bcd2seg7;architecture behav of bcd2seg7 isbegin with bcdin select segout <= "1111110" when "0000", -display"0" "0110000" when "0001", -display"1" "1101101" when "0010", "1111001" when

28、"0011", "0110011" when "0100", -display"4" "1011011" when "0101", "1011111" when "0110", "1110000" when "0111", -display"7" "1111111" when "1000", "1110011" whe

29、n "1001", -display"9" "1110111" when "1010", -display"A" "0011111" when "1011", -display"b" "1001110" when "1100", -display"C" "0111101" when "1101", -display"d"

30、; "1001111" when "1110", -display"E" "1000111" when "1111", -display"F" "0000000" when others;end behave;4-22 (有沒有簡單方法呢?)用VHDL設(shè)計一個代碼轉(zhuǎn)換邏輯電路。把7位的ASCII碼轉(zhuǎn)換成7段字符顯示代碼。能顯示數(shù)字09,字母A,b,C,d,E,F(xiàn),H,L,o,P,U,等。解:ASCII碼7段字符顯示代碼字符A6A5A4A3A2A1A0YaY

31、bYcYdYeYfYg字形001100001111110101100010110000201100101101101301100111111001401101000110011501101011011011601101101011111701101111110000801110001111111901110011110011A10000011110111b11000100011111C10000111001110d11001000111101E10001011001111F10001101000111H10010000110111L10011000001110o11011110011101P1

32、0100001100111U101010101111104-23 用VHDL設(shè)計一個16位全加器。解:4-24 用VHDL設(shè)計一個8位數(shù)值比較器。解:4-25 用VHDL設(shè)計一個四位超前進位加法器。 解:- 4-Bit carry-look-ahead Full Adderlibrary ieee;use ieee.std_logic_1164.all;entity FULL_ADDER1 is port ( A, B : in bit_vector(3 downto 0); Cin : in bit; S : out bit_vector(3 downto 0); Cout : out BIT); end FULL_ADDER1;-architecture FULL_ADDERp of FULL_ADDER1 is signal sA,sB,sS : bit_vector(3 downto 0); signal sCin , sCout : bit ;

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論