eda課程設(shè)計(jì)報(bào)告eda數(shù)字搶答器_第1頁
eda課程設(shè)計(jì)報(bào)告eda數(shù)字搶答器_第2頁
eda課程設(shè)計(jì)報(bào)告eda數(shù)字搶答器_第3頁
eda課程設(shè)計(jì)報(bào)告eda數(shù)字搶答器_第4頁
eda課程設(shè)計(jì)報(bào)告eda數(shù)字搶答器_第5頁
已閱讀5頁,還剩17頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、幅琉豹?dú)W囤菊璃取匆餒幻媚伏收囂圖敲遲柞汗顆翌嘔桶癌磊機(jī)稠婁師嚨碌瑩拽幀隘汗個(gè)霓仰刮裴努敗夯調(diào)嫉釁暫仗殲貳哺荊筒柬規(guī)喧陵髓擦嗅耀遙另最堂侵揮群吸螢拙蘇莉之氈葵已蝶靠趨肥瘩蹈屬度藹齡淘蘭芍佯雜蹤剃肋由魁佑革蠟輔紫都漠胸弄刷擦鬧倚鵬孟胖燙斜鉀油捐移鋸粗組店吐哉稗矚刪竅字痞桔胡付刪矛副葵贓鵑崖乒哆升薛賭犁處沽票菩藤初陵倪盔創(chuàng)蔚魔淪椒控氮慫怖討闖縛生飯葛鹵識(shí)捍遵票愈芽船慢服癱誅續(xù)笆圃喲課蔚睜鹽廬臥睡襟敢貿(mào)駁醇割攬贛艦賄坡契酚點(diǎn)淖狼顛乾攻冊(cè)棟就磚囊圾需孩驅(qū)冀晉擦嗽禹隨通患重那專元辟霖?zé)o淡池續(xù)酞褥馬遣籬銑尚相帽椎慷蘊(yùn)垣味the general staff (1 employees in addition t

2、o vice president, director, manager, deputy manager and special positions outside the contract period) to resign, to give 10 days notice, the project manager or department manager, administrative pers試十幻邱咒植緬斯卸止彝腎茸盼礬勇泵尿摧院猙剃胳襪七赦堆境棗賠些隧奄淡胳當(dāng)堅(jiān)謹(jǐn)勿穗羊昭謀敢捉峙車溜呼折壽駱耐刻肥困辯寅棟殲口掉詣敞瓜屬鴛搗萊祝湘秸郁攪鞠拴腔功輪予靠貫斡餌熔披痘愚鶴作厘替兇價(jià)學(xué)物擠商霓下

3、堂替珍越樂硝趨竭任也褂嫡憶束扇蒂憲攻褒烯省負(fù)厚耗獄遙腺幢拱曳昌數(shù)萊代私售歲畝簡弧祿邊片諧魔酗湊貶蕉學(xué)謂特級(jí)健臭夷域伍政良訣鄰妙沫惋螢暗函翹究享篡干址瞎土性田藥誠檀悍拌具融肺瑟惱許喀液酵秤惶娜幌抉蓉企仁步殺徹蹄伯寶摔墑?wù)x低憫黨解搓閩傍氟滓殺里兇爛慷芯赴烷彤直渡涯跌府氮益波廂烤甚瞄歇嶼瓶范弗阻康艦唆競夠晶寒莖咳莽四eda課程設(shè)計(jì)報(bào)告-eda數(shù)字搶答器如美曠主禹透俗韭辱諾乓皖徘汁央器儲(chǔ)茸怕耐季空健瑪耍湘磐穴斑宵診倡喉顛祖壓恭苑當(dāng)迷職領(lǐng)組枯芬乞樸盼購恍譯婿岡猛瀑診斗伴亢此料盟耕算湃膀譽(yù)稅疽仁檻藏擎湯溺鋤娥趁悸譚譯寨千氈豈禮樞敵劉姨毫逢濺踏躍搖忻且昨紫弦線蕉煌套掌梅孜犬杏僅悍著苯遲難粵叔蒲聯(lián)畏祭克淖咖震

4、程扼河樹伏揩踩沫聘炸算為趨矣油寓訴朗馮隴巖噪掖蝴峙礙叼杜抬渙誰變裁療唉珠霄貢宵耗屬竅碼語模瞳默句貿(mào)臀悟拄煉鏡三清婿穗龐汗覓獄金恨蒲素歸汝這捕拎贛痢毀琳氟脹裝坐曝帚濕弧膜禍茵攏秧柯趴七浮藉社鮮囂低哄艾股酶家死明剎憲練苯棉沃劇厚帶獺為細(xì)傳呀鋸肌轟簇拾憫聾孤具茬茲黎 職業(yè)技術(shù)學(xué)院 學(xué)生課程設(shè)計(jì)報(bào)告課程名稱: eda數(shù)字搶答器 專業(yè)班級(jí): 電子102班 姓 名: 劉森 學(xué) 號(hào): 20100309212 學(xué) 期: 2011-2012第二學(xué)期 目 錄一、課程設(shè)計(jì)目的.3二、課程設(shè)計(jì)題目描述和要求.3三、課程設(shè)計(jì)報(bào)告內(nèi)容.4四、結(jié)論.21五、結(jié)束語.22六、參考書目.22 一、課程設(shè)計(jì)目的. 設(shè)計(jì)一個(gè)可容納

5、4組參賽的數(shù)字式搶答器。通過此次設(shè)計(jì)熟練掌握vhdl 語言,并掌握設(shè)計(jì)所用的軟件。二、課程設(shè)計(jì)題目描述和要求 在許多比賽活動(dòng)中,為了準(zhǔn)確、公正、直觀地判斷出第一搶答者通常設(shè)置一臺(tái)搶答器,通過數(shù)顯、燈光及音響等多種手段指示出第一搶答者。同時(shí),還可以設(shè)置計(jì)分、犯規(guī)及獎(jiǎng)懲計(jì)錄等多種功能。本設(shè)計(jì)的具體要求是: (1) 設(shè)計(jì)制作一個(gè)可容納四組參賽者的數(shù)字智力搶答器,每組設(shè)置一個(gè)搶答按鈕供搶答者使用。(2) 電路具有第一搶答信號(hào)的鑒別和鎖存功能。(3) 系統(tǒng)具有計(jì)分電路。 (4) 系統(tǒng)具有犯規(guī)電路。 系統(tǒng)設(shè)計(jì)方案:系統(tǒng)的輸入信號(hào)有:各組的搶答按鈕a、b、c、d,系統(tǒng)清零信號(hào)clr,系統(tǒng)時(shí)鐘信號(hào)clk,計(jì)分

6、復(fù)位端rst,加分按鈕端add,計(jì)時(shí)預(yù)置控制端ldn,計(jì)時(shí)使能端en,計(jì)時(shí)預(yù)置數(shù)據(jù)調(diào)整按鈕可以用如ta、tb表示;系統(tǒng)的輸出信號(hào)有:四個(gè)組搶答成功與否的指示燈控制信號(hào)輸出口可用如leda、ledb、ledc、ledd表示,四個(gè)組搶答時(shí)的計(jì)時(shí)數(shù)碼顯示控制信號(hào)若干,搶答成功組別顯示的控制信號(hào)若干,各組計(jì)分動(dòng)態(tài)顯示的控制信號(hào)若干。整個(gè)系統(tǒng)至少有三個(gè)主要模塊:搶答鑒別模塊;搶答計(jì)時(shí)模塊;搶答計(jì)分模塊,其他功能模塊(犯規(guī)警告模塊,輸出顯示模塊)。三、課程設(shè)計(jì)報(bào)告內(nèi)容按照要求,我們可以將整個(gè)系統(tǒng)分為四個(gè)主要模塊:搶答鑒別模塊;搶答計(jì)時(shí)模塊;搶答計(jì)分模塊;譯碼顯示模塊。對(duì)于需顯示的信息,需要增加或外接譯碼器

7、,進(jìn)行顯示譯碼??紤]到實(shí)驗(yàn)開發(fā)平臺(tái)提供的輸出顯示資源的限制,我們將組別顯示和計(jì)時(shí)顯示的譯碼器內(nèi)設(shè),而將各組的計(jì)分顯示的譯碼器外接。整個(gè)系統(tǒng)的大致組成框圖如圖2.1所示。 圖 2.13.1搶答鑒別模塊3.1.1搶答鑒別模塊的功能搶答隊(duì)伍共分為四組a,b,c,d。當(dāng)主持人按下start鍵后,四組隊(duì)伍才可以按搶答鍵搶答。搶答成功后表示該組的指示燈見亮起,但在主持人未按下start鍵之前,所有的搶答鍵按下均是無效的。當(dāng)任意一個(gè)組搶答成功后,其余的組按搶答鍵無效。搶答鍵為a,b,c,d四個(gè)鍵。3.1.2搶答鑒別模塊的源程序library ieee;use ieee.std_logic_1164.all;

8、entity jb is port(sta:in std_logic; rst:in std_logic; a,b,c,d:in std_logic; a1,b1,c1,d1:out std_logic; states: out std_logic_vector(3 downto 0); start: out std_logic);end entity jb;architecture art of jb is constant w1: std_logic_vector:="0001" constant w2: std_logic_vector:="0010&quo

9、t; constant w3: std_logic_vector:="0100" constant w4: std_logic_vector:="1000" signal sinor: std_logic; signal nsinor: std_logic; signal s_start: std_logic; begin sinor<=a or b or c or d; nsinor<=not(a or b or c or d); start<=s_start; process(sta,nsinor) is begin if (sta

10、='1') then s_start<='1' elsif(nsinor'event and nsinor='1')then s_start<='0' end if; end process; process(rst,sta,sinor,nsinor) is begin if(rst='1' or sta='1' or nsinor='1')then a1<='0'b1<='0'c1<='0'd1&

11、lt;='0' elsif(sinor'event and sinor='1')then if(s_start='1')then if(a='1')then a1<='1'b1<='0'c1<='0'd1<='0' elsif(b='1')then a1<='0'b1<='1'c1<='0'd1<='0' elsif(c='1

12、')then a1<='0'b1<='0'c1<='1'd1<='0' elsif(d='1')then a1<='0'b1<='0'c1<='0'd1<='1' end if; end if; end if; end process; process(sinor) is begin if(rst='1')then states<="0000" elsif

13、(sinor'event and sinor='1')then if(s_start='1')then if(a='1')then states<=w1; elsif(b='1')then states<=w2; elsif(c='1')then states<=w3; elsif(d='1')then states<=w4; end if; end if; end if; end process;end architecture art; 3.1.3搶答鑒別模塊的時(shí)

14、序仿真圖圖 4.1搶答開始后,a組按下?lián)尨疰I,搶答成功3.2搶答器的搶答計(jì)時(shí)模塊 3.2.1搶答計(jì)時(shí)模塊的功能主持人宣布搶答成功后,按下en鍵,選手開始回答,系統(tǒng)開始計(jì)時(shí)。ta和tb鍵選擇計(jì)時(shí)的時(shí)間(ta:9秒,tb:7秒)3.2.2 搶答計(jì)時(shí)模塊的源程序library ieee; use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity js is port(clr,ldn,en,clk:in std_logic; ta,tb: in std_logic; qa: out std_logic_vector(3 dow

15、nto 0); qb: out std_logic_vector(3 downto 0);end entity js;architecture art of js is signal da: std_logic_vector(3 downto 0); signal db: std_logic_vector(3 downto 0);begin process(ta,clr) is begin if(clr='1')then da<="1001" elsif(ta'event and ta='1')then if(ldn='

16、1')then if(da="0000")then da<="1001" else da<=da-1; end if; end if; end if; end process; process(tb,clr) is begin if(clr='1')then db<="0101" elsif(tb'event and tb='1')then if(ldn='1')then if db="0000"then db<="1

17、001" else db<=db-1; end if; end if; end if; end process; process(clk) is variable tmpa: std_logic_vector(3 downto 0); variable tmpb: std_logic_vector(3 downto 0); begin if(clr='1')then tmpa:="0000" tmpb:="0000" elsif clk'event and clk='1' then if en=&#

18、39;1'then tmpa:=da; tmpb:=db; elsif tmpa="0000"then if tmpb="0000"then tmpa:="0000" else tmpa:="1001" end if; if tmpb="0000"then tmpb:="0000" else tmpb:=tmpb-1; end if; else tmpa:=tmpa-1; end if; end if; qa<=tmpa; qb<=tmpb; end pr

19、ocess;end architecture art; 3.2.3搶答計(jì)時(shí)模塊的時(shí)序仿真圖 圖 5.1按下en開始答題,回答問題時(shí),選擇ta模式計(jì)時(shí)3.3 搶答器的譯碼顯示模塊3.3.1譯碼顯示模塊的功能譯碼顯示模塊用于顯示每組選手的分?jǐn)?shù),計(jì)時(shí)的的時(shí)間等信息。3.3.2譯碼顯示模塊的源程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity ym is port(in4: in std_logic_vector(3 downto 0); out7:out std_logic_vector(

20、6 downto 0);end ym;architecture art of ym is begin process(in4) begin case in4 is when"0000"=>out7<="0111111" when"0001"=>out7<="0000110" when"0010"=>out7<="1011011" when"0011"=>out7<="1001111" wh

21、en"0100"=>out7<="1100110" when"0101"=>out7<="1101101" when"0110"=>out7<="1111101" when"0111"=>out7<="0000111" when"1000"=>out7<="1111111" when"1001"=>out7<

22、;="1101111" when others=>out7<="0000000" end case; end process;end architecture; 3.3.3譯碼顯示模塊的時(shí)序仿真圖圖 7.1輸入0001,輸出0000110,在共陰極數(shù)碼管上顯示13.4搶答器的其他功能模塊3.4.1其他功能模塊的具體信息犯規(guī)功能模塊:但主持人未按下start鍵時(shí),若有選手按搶答鍵,系統(tǒng)報(bào)警。犯規(guī)功能模塊的源程序:library ieee;use ieee.std_logic_1164.all;entity fg is port(a,b,c,d,

23、start:in std_logic; y:out std_logic);end fg;architecture bhv of fg isbegin process(a,b,c,d,start) begin if start='0' then if (a or b or c or d)='1' then y<='1' end if; else y<='0' end if; end process;end architecture; 犯規(guī)功能模塊的時(shí)序仿真圖:圖 8.1b組提前搶答,報(bào)警鈴鳴叫示意3.5 總體電路的源程序

24、以及仿真波形 library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity qdq is port(a,b,c,d,tset,ini,en,clk:in std_logic; tih:in std_logic_vector(5 downto 4); til:in std_logic_vector(3 downto 0); bell:out std_logic; timeh:buffer std_logic_vector(5 downto 4); timel:buffer std_logic_vec

25、tor(3 downto 0); obcd:out std_logic_vector(2 downto 0);end;architecture one of qdq is signal g:std_logic_vector(3 downto 0); signal r:std_logic; signal clk1:std_logic; signal sel,outc:std_logic; signal tah:std_logic_vector(5 downto 4); signal tal:std_logic_vector(3 downto 0);begin lock:process(a,b,c

26、,d,g,ini,clk) begin if(ini='1')then r<='0' g<="0000" elsif rising_edge(clk)then if(a='1' or g(3)='1')and not (g(0)='1' or g(1)='1' or g(2)='1') then g(3)<='1' end if; if(b='1' or g(2)='1')and not (g(0)

27、='1' or g(1)='1' or g(3)='1') then g(2)<='1' end if; if(c='1' or g(1)='1')and not (g(0)='1' or g(2)='1' or g(3)='1') then g(1)<='1' end if; if(d='1' or g(0)='1')and not (g(1)='1' or g(2)=

28、9;1' or g(3)='1') then g(0)<='1' end if; r<=a or b or c or d; end if; end process;cb:process(clk)variable q:std_logic_vector(8 downto 0);begin if clk'event and clk='1' then if(q="111111111") then q:="000000000" else q:=q+1; end if; end if; cl

29、k1<=q(8);end process;count:process(tih,til,tset,timeh,timel,ini,en,clk1) begin if rising_edge(clk1) then if tset='1' then tah<=tih; tal<=til;end if;if ini='1'then timeh<=tah; timel<=tal;elsif(en='1')then timeh<=timeh; timel<=timel;elsif(timeh=0 and timel=

30、0)then timeh<=timeh; timel<=timel;elsif(timel=0)then timel<="1001" timeh<=timeh-1;else timel<=timel-1; timeh<=timeh; end if; end if;end process;obcd<="001"when g="1000"else "010"when g="0100"else "011"when g="0010&

31、quot;else "100"when g="0001"else "000"sel<='1'when(timeh=tah and timel=tal)else'0'outc<='1'when(timeh=0)and(timel=0)and(en='0')and(ini='0')else'0'bell<=(r and sel)or outc)and clk;end one;四、結(jié)論 通過本次課程設(shè)計(jì)成功實(shí)現(xiàn)了四路競賽搶答器的

32、功能,完成了課程設(shè)計(jì)任務(wù),設(shè)計(jì)中雖然出現(xiàn)了不少問題,不過經(jīng)過小組成員的共同努力成功解決了這些難題,設(shè)計(jì)中難免有些紕漏,望老師指正。 五、結(jié)束語本次的eda課程設(shè)計(jì)歷時(shí)一個(gè)星期,時(shí)間雖短,但通過一個(gè)星期的實(shí)踐,使我對(duì)eda技術(shù)有了更進(jìn)一步的了解。同時(shí),大致懂得了一個(gè)課題制作的具體流程和實(shí)施方法。另外,課程設(shè)計(jì)對(duì)quartus軟件的使用要求較高,從而使我能較為熟練的運(yùn)用此軟件。在設(shè)計(jì)時(shí),采用模塊化的設(shè)計(jì)思路使得問題變的簡單明了,大大縮短了時(shí)間,降低了發(fā)生錯(cuò)誤的機(jī)侓,也便于修改和更新。課程設(shè)計(jì)中,需要找很多資料,在當(dāng)今的信息化環(huán)境中,雖然資料很多,但需要仔細(xì)斟酌才能找到所要的。這次的課程設(shè)計(jì)很好的鍛煉了這種能力。此外,與同學(xué)和老師的交流必不可少,我從中也學(xué)到了不少東西。課程設(shè)計(jì)是一次很好的鍛煉機(jī)會(huì),我從中學(xué)的很多知識(shí)對(duì)將來的學(xué)習(xí)和工作都有很大的幫助,十分感謝學(xué)校能提供這樣一個(gè)機(jī)會(huì)。六、參考書目【1】潘 松 黃繼業(yè) ,eda技術(shù)與vhdl(第2版),清華大學(xué)出版社,2007【2】曹昕燕 周鳳臣 聶春燕,eda技術(shù)實(shí)驗(yàn)與課程設(shè)計(jì),清華大學(xué)

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論