第13章 電子系統(tǒng)設(shè)計(jì)實(shí)踐課件_第1頁(yè)
第13章 電子系統(tǒng)設(shè)計(jì)實(shí)踐課件_第2頁(yè)
第13章 電子系統(tǒng)設(shè)計(jì)實(shí)踐課件_第3頁(yè)
第13章 電子系統(tǒng)設(shè)計(jì)實(shí)踐課件_第4頁(yè)
第13章 電子系統(tǒng)設(shè)計(jì)實(shí)踐課件_第5頁(yè)
已閱讀5頁(yè),還剩45頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、EDA 技術(shù)實(shí)用教程技術(shù)實(shí)用教程第第 13 13 章章 電子系統(tǒng)設(shè)計(jì)實(shí)踐電子系統(tǒng)設(shè)計(jì)實(shí)踐 13.0 13.0 數(shù)字鐘設(shè)計(jì)數(shù)字鐘設(shè)計(jì)在此完成的設(shè)計(jì)項(xiàng)目可達(dá)到的指標(biāo)為:在此完成的設(shè)計(jì)項(xiàng)目可達(dá)到的指標(biāo)為:(1)具有時(shí)、分、秒顯示。)具有時(shí)、分、秒顯示。(2)具有校時(shí)功能)具有校時(shí)功能 。電路結(jié)構(gòu)圖電路結(jié)構(gòu)圖13.0 13.0 數(shù)字鐘設(shè)計(jì)數(shù)字鐘設(shè)計(jì)60進(jìn)制計(jì)數(shù)器60進(jìn)制計(jì)數(shù)器24進(jìn)制計(jì)數(shù)器控制器使能使能hCLK校時(shí)使能mCLKsCLKclk(1Hz)sel走時(shí)/校表start校表啟動(dòng)set時(shí)/分/秒時(shí)計(jì)數(shù)輸出分計(jì)數(shù)輸出秒計(jì)數(shù)輸出VCCEN13.0 13.0 數(shù)字鐘設(shè)計(jì)數(shù)字鐘設(shè)計(jì)60進(jìn)制計(jì)數(shù)器電路結(jié)構(gòu)圖

2、進(jìn)制計(jì)數(shù)器電路結(jié)構(gòu)圖6進(jìn)制計(jì)數(shù)器10進(jìn)制計(jì)數(shù)器60清零sCLKEN1EN2計(jì)數(shù)輸出高4位計(jì)數(shù)輸出低4位進(jìn)位輸出13.0 13.0 數(shù)字鐘設(shè)計(jì)數(shù)字鐘設(shè)計(jì)2進(jìn)制計(jì)數(shù)器10進(jìn)制計(jì)數(shù)器24清零hCLKEN1EN2計(jì)數(shù)輸出高4位計(jì)數(shù)輸出低4位24進(jìn)制計(jì)數(shù)器電路結(jié)構(gòu)圖進(jìn)制計(jì)數(shù)器電路結(jié)構(gòu)圖13.0 13.0 數(shù)字鐘設(shè)計(jì)數(shù)字鐘設(shè)計(jì)控制器電路結(jié)構(gòu)圖控制器電路結(jié)構(gòu)圖clk(1Hz)sel走時(shí)/校表start校表啟動(dòng)set時(shí)/分/秒3進(jìn)制計(jì)數(shù)器/譯碼器h hC CL LK K校校秒秒顯顯示示m mC CL LK K校校分分顯顯示示s sC CL LK K校校時(shí)時(shí)顯顯示示R010101S SE ET TE EN N1

3、3.0 13.0 數(shù)字鐘設(shè)計(jì)數(shù)字鐘設(shè)計(jì)LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_unsigned.ALL;ENTITY cont60 IS PORT(en1,en2,clk:IN STD_LOGIC; q:out STD_LOGIC_vector(7 downto 0); co:out STD_LOGIC);END cont60;6060進(jìn)制計(jì)數(shù)器設(shè)計(jì)進(jìn)制計(jì)數(shù)器設(shè)計(jì)13.0 13.0 數(shù)字鐘設(shè)計(jì)數(shù)字鐘設(shè)計(jì)ARCHITECTURE art OF cont60 ISsignal en:STD_LOGIC;BEGIN en

4、=en1 or en2; process(en,clk) variable ql,qh:std_logic_vector(3 downto 0); BEGIN if(clk=1 and clkevent)then if(en=1)then if(qh=0101 and ql=1001)then ql:=0000;qh:=0000; else if(ql=1001) then ql:=0000;-低位清零低位清零 13.0 13.0 數(shù)字鐘設(shè)計(jì)數(shù)字鐘設(shè)計(jì) qh:=qh+1;-高位加高位加1 else ql:=ql+1;-低位加低位加1 end if; end if; end if; if(qh=

5、0101 and ql=1001)then co=1;-產(chǎn)生進(jìn)位產(chǎn)生進(jìn)位 else co=0; end if; end if; q=qh&ql;end process;end art;13.0 13.0 數(shù)字鐘設(shè)計(jì)數(shù)字鐘設(shè)計(jì)LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_unsigned.ALL;ENTITY cont24 IS PORT(en1,en2,hclk:IN STD_LOGIC; q:out STD_LOGIC_vector(7 downto 0);END cont24;2424進(jìn)制計(jì)數(shù)器設(shè)計(jì)進(jìn)制計(jì)數(shù)器設(shè)計(jì)13

6、.0 13.0 數(shù)字鐘設(shè)計(jì)數(shù)字鐘設(shè)計(jì)ARCHITECTURE art OF cont24 ISsignal en:STD_LOGIC;BEGIN en=en1 or en2; process(en,clk) variable ql,qh:std_logic_vector(3 downto 0); BEGIN if(clk=1 and clkevent)then if(en=1)then if(qh=0010 and ql=0011)then ql:=0000;qh:=0000; else if(ql=1001) then ql:=0000;-低位清零低位清零13.0 13.0 數(shù)字鐘設(shè)計(jì)數(shù)字鐘

7、設(shè)計(jì) qh:=qh+1;-高位加高位加1 else ql:=ql+1;-低位加低位加1 end if; end if; end if; if(qh=0010 and ql=0011)then co=1;-產(chǎn)生進(jìn)位產(chǎn)生進(jìn)位 else co=0; end if; end if; qsy:=1;my:=0;hy:=0;when 01=sy:=0;my:=1;hy:=0;when 10=sy:=0;my:=0;hy:=1;when others=sy:=0;my:=0;hy:=0;end case; sta=start and clk; st=sta and sy; mt=sta and my; ht

8、=sta and hy; 13.0 13.0 數(shù)字鐘設(shè)計(jì)數(shù)字鐘設(shè)計(jì) if sel=1 then -2選選1數(shù)據(jù)選擇器數(shù)據(jù)選擇器 sclk=st;mclk=mt;hclk=ht; else sclk=clk;mclk=clk;hclk=clk; end if; sdsp=st;mdsp=mt;hdsp=ht;-校時(shí)標(biāo)志顯示輸出校時(shí)標(biāo)志顯示輸出 seten=sel; end process;end art;13.0 13.0 數(shù)字鐘設(shè)計(jì)數(shù)字鐘設(shè)計(jì)頂層設(shè)計(jì)頂層設(shè)計(jì)13.0 13.0 數(shù)字鐘設(shè)計(jì)數(shù)字鐘設(shè)計(jì)FPGA器件引腳鎖定:器件引腳鎖定:no.5Clk:2Clk:2Sel:5Sel:5(鍵(鍵1)S

9、et:6Set:6(鍵(鍵2)Start:7Start:7(鍵(鍵3)S7.0 :S7.0 :數(shù)碼管數(shù)碼管1 1、2 2M7.0 :M7.0 :數(shù)碼管數(shù)碼管3 3、4 4H7.0 :H7.0 :數(shù)碼管數(shù)碼管5 5、6 613.1 VGA彩條信號(hào)顯示控制器設(shè)計(jì)彩條信號(hào)顯示控制器設(shè)計(jì) 5個(gè)信號(hào)個(gè)信號(hào) R、G、B:三基色信號(hào):三基色信號(hào) HS:行同步信號(hào):行同步信號(hào) VS:場(chǎng)同步信號(hào):場(chǎng)同步信號(hào) VGA工業(yè)標(biāo)準(zhǔn)要求的頻率:工業(yè)標(biāo)準(zhǔn)要求的頻率:時(shí)鐘頻率時(shí)鐘頻率(Clock frequency) :25.175 MHz (像素輸出的頻率像素輸出的頻率)行頻行頻(Line frequency) : 314

10、69 Hz 場(chǎng)頻場(chǎng)頻(Field frequency ) : 59.94 Hz (每秒圖像刷新頻率每秒圖像刷新頻率) 13.1 VGA彩條信號(hào)顯示控制器設(shè)計(jì)彩條信號(hào)顯示控制器設(shè)計(jì) RGBHSTaTbTcTdTeTfTg圖像行消隱下一行圖像行消隱行同步RGBVSTaTbTcTdTeTfTg圖像場(chǎng)消隱下一行圖像場(chǎng)消隱場(chǎng)同步圖圖13-1 VGA行掃描、場(chǎng)掃描時(shí)序示意圖行掃描、場(chǎng)掃描時(shí)序示意圖 13.1 VGA彩條信號(hào)顯示控制器設(shè)計(jì)彩條信號(hào)顯示控制器設(shè)計(jì) 表表13-1 行掃描時(shí)序要求:行掃描時(shí)序要求:(單位:像素,即輸出一個(gè)像素單位:像素,即輸出一個(gè)像素Pixel的時(shí)間間隔的時(shí)間間隔) 行同步頭行同步

11、頭 行圖像行圖像 行周期行周期對(duì)應(yīng)位置對(duì)應(yīng)位置TfTaTbTcTdTeTg時(shí)間時(shí)間(Pixels)8964086408800表表13-1 行掃描時(shí)序要求:行掃描時(shí)序要求:(單位:像素,即輸出一個(gè)像素單位:像素,即輸出一個(gè)像素Pixel的時(shí)間間隔的時(shí)間間隔) 行同步頭行同步頭 行圖像行圖像 行周期行周期對(duì)應(yīng)位置對(duì)應(yīng)位置TfTaTbTcTdTeTg時(shí)間時(shí)間(Lines)22258480852513.1 VGA彩條信號(hào)顯示控制器設(shè)計(jì)彩條信號(hào)顯示控制器設(shè)計(jì) 圖圖13-2 HS和和VS的時(shí)序圖的時(shí)序圖 13.1 VGA彩條信號(hào)顯示控制器設(shè)計(jì)彩條信號(hào)顯示控制器設(shè)計(jì) 圖圖13-3 例例13-7實(shí)現(xiàn)電路實(shí)現(xiàn)電

12、路 13.1 VGA彩條信號(hào)顯示控制器設(shè)計(jì)彩條信號(hào)顯示控制器設(shè)計(jì) 表表13-3 顏色編碼:顏色編碼: 表表13-4彩條信號(hào)發(fā)生器彩條信號(hào)發(fā)生器3 3種顯示模式種顯示模式 顏色顏色黑黑藍(lán)藍(lán)紅紅品品綠綠青青黃黃白白R(shí)00001111G00110011B01010101 1橫彩條橫彩條1:白黃青綠品紅藍(lán)黑:白黃青綠品紅藍(lán)黑 2: 黑藍(lán)紅品綠青黃白黑藍(lán)紅品綠青黃白2豎彩條豎彩條1:白黃青綠品紅藍(lán)黑:白黃青綠品紅藍(lán)黑 2: 黑藍(lán)紅品綠青黃白黑藍(lán)紅品綠青黃白3棋盤格棋盤格1:棋盤格顯示模式:棋盤格顯示模式1 2: 棋盤格顯示模式棋盤格顯示模式213.1 VGA彩條信號(hào)顯示控制器設(shè)計(jì)彩條信號(hào)顯示控制器設(shè)計(jì)

13、【例【例13-1】LIBRARY IEEE; - VGA顯示器顯示器 彩條彩條 發(fā)生器發(fā)生器USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY COLOR IS PORT ( CLK, MD : IN STD_LOGIC; HS, VS, R, G, B : OUT STD_LOGIC ); - 行場(chǎng)同步行場(chǎng)同步/紅,綠,蘭紅,綠,蘭END COLOR;ARCHITECTURE behav OF COLOR IS SIGNAL HS1,VS1,FCLK,CCLK : STD_LOGIC; SIGNAL MMD :

14、 STD_LOGIC_VECTOR(1 DOWNTO 0);- 方式選擇方式選擇 SIGNAL FS : STD_LOGIC_VECTOR (3 DOWNTO 0); SIGNAL CC : STD_LOGIC_VECTOR(4 DOWNTO 0); -行同步行同步/橫彩條生成橫彩條生成 SIGNAL LL : STD_LOGIC_VECTOR(8 DOWNTO 0); -場(chǎng)同步場(chǎng)同步/豎彩條生成豎彩條生成 SIGNAL GRBX : STD_LOGIC_VECTOR(3 DOWNTO 1);- X橫彩條橫彩條 SIGNAL GRBY : STD_LOGIC_VECTOR(3 DOWNTO 1

15、);- Y豎彩條豎彩條 SIGNAL GRBP : STD_LOGIC_VECTOR(3 DOWNTO 1); SIGNAL GRB : STD_LOGIC_VECTOR(3 DOWNTO 1);BEGIN (接下頁(yè))(接下頁(yè)) GRB(2) = (GRBP(2) XOR MD) AND HS1 AND VS1; GRB(3) = (GRBP(3) XOR MD) AND HS1 AND VS1; GRB(1) = (GRBP(1) XOR MD) AND HS1 AND VS1; PROCESS( MD ) BEGIN IF MDEVENT AND MD = 0 THEN IF MMD =

16、10 THEN MMD = 00; ELSE MMD = MMD + 1; END IF; -三種模式三種模式 END IF; END PROCESS; PROCESS( MMD ) BEGIN IF MMD = 00 THEN GRBP = GRBX; - 選擇橫彩條選擇橫彩條 ELSIF MMD = 01 THEN GRBP = GRBY; - 選擇豎彩條選擇豎彩條 ELSIF MMD = 10 THEN GRBP = GRBX XOR GRBY; -產(chǎn)生棋盤格產(chǎn)生棋盤格 ELSE GRBP = 000; END IF; END PROCESS; PROCESS( CLK ) BEGIN

17、IF CLKEVENT AND CLK = 1 THEN - 13MHz 13分頻分頻 IF FS = 13 THEN FS = 0000; ELSE FS = (FS + 1); END IF; END IF; END PROCESS; FCLK = FS(3); CCLK = CC(4); PROCESS( FCLK ) BEGIN (接下頁(yè))(接下頁(yè)) IF FCLKEVENT AND FCLK = 1 THEN IF CC = 29 THEN CC = 00000; ELSE CC = CC + 1; END IF; END IF; END PROCESS; PROCESS( CCLK

18、 ) BEGIN IF CCLKEVENT AND CCLK = 0 THEN IF LL = 481 THEN LL = 000000000; ELSE LL 23 THEN HS1 = 0; -行同步行同步 ELSE HS1 479 THEN VS1 = 0; -場(chǎng)同步場(chǎng)同步 ELSE VS1 = 1; END IF; END PROCESS; PROCESS(CC, LL) BEGIN IF CC 3 THEN GRBX = 111; - 橫彩條橫彩條 ELSIF CC 6 THEN GRBX = 110; ELSIF CC 9 THEN GRBX = 101; ELSIF CC 13

19、THEN GRBX = 100; ELSIF CC 15 THEN GRBX = 011; (接下頁(yè))(接下頁(yè))ELSIF CC 18 THEN GRBX = 010; ELSIF CC 21 THEN GRBX = 001; ELSE GRBX = 000; END IF; IF LL 60 THEN GRBY = 111; - 豎彩條豎彩條 ELSIF LL 130 THEN GRBY = 110; ELSIF LL 180 THEN GRBY = 101; ELSIF LL 240 THEN GRBY = 100; ELSIF LL 300 THEN GRBY = 011; ELSIF

20、LL 360 THEN GRBY = 010; ELSIF LL 420 THEN GRBY = 001; ELSE GRBY = 000; END IF; END PROCESS; HS = HS1 ; VS = VS1 ;R = GRB(2) ;G = GRB(3) ; B = GRB(1);END behav; 13.2 VGA圖象顯示控制器設(shè)計(jì)圖象顯示控制器設(shè)計(jì) EEPROM或FlashROMDATA8ADDROE、CEVGAR、G、BHS、VSVGAROMCVGA時(shí)序控制時(shí)鐘二分頻ROM讀取控制模式控制CLK50MHz時(shí)鐘MODF圖圖13-4 VGA圖像控制器框圖圖像控制器框圖 【例

21、【例13-2】LIBRARY ieee; -圖象顯示頂層程序圖象顯示頂層程序USE ieee.std_logic_1164.all; ENTITY img IS port( clk50MHz : IN STD_LOGIC; hs,vs,r, g, b : OUT STD_LOGIC );END img;ARCHITECTURE modelstru OF img IS component vga640480 -VGA顯示控制模塊顯示控制模塊PORT(clk : IN STD_LOGIC; rgbin : IN STD_LOGIC_VECTOR(2 downto 0); hs, vs, r, g,

22、 b : OUT STD_LOGIC; hcntout, vcntout : OUT STD_LOGIC_VECTOR(9 downto 0);end component;component imgrom -圖象數(shù)據(jù)圖象數(shù)據(jù)ROM,數(shù)據(jù)線,數(shù)據(jù)線3位;地址線位;地址線13位位PORT(inclock : IN STD_LOGIC; address : IN STD_LOGIC_VECTOR(11 downto 0); q : OUT STD_LOGIC_VECTOR(2 downto 0);end component;signal rgb : STD_LOGIC_VECTOR(2 downto

23、 0);signal clk25MHz : std_logic;signal romaddr : STD_LOGIC_VECTOR(11 downto 0);signal hpos, vpos: std_logic_vector(9 downto 0);BEGIN romaddr = vpos(5 downto 0) & hpos(5 downto 0);process(clk50MHz) beginif clk50MHzevent and clk50MHz = 1 then clk25MHz clk25MHz, rgbin = rgb, hs = hs, vs = vs, r = r, g

24、= g, b = b, hcntout = hpos, vcntout = vpos);i_rom : imgrom PORT MAP(inclock = clk25MHz, address = romaddr, q = rgb);END; 【例【例13-3】LIBRARY IEEEuse IEEE.std_logic_1164.all;useIEEE.STD_LOGIC_UNSIGNED.ALL;entity vga640480 isport (clk: in STD_LOGIC;hs,vs,r,g,b : out STD_LOGIC;rgbin: in std_logic_vector(2

25、 downto 0);hcntout,vcntout: out std_logic_vector(9 downto 0);end vga640480;architecture ONE of vga640480 issignal hcnt, vcnt: std_logic_vector(9 downto 0);beginhcntout = hcnt; vcntout = vcnt;process(clk) beginif (rising_edge(clk) thenif(hcnt 800) thenhcnt = hcnt + 1;else hcnt 0); end if;end if;end p

26、rocess;process(clk) beginif (rising_edge(clk) thenif (hcnt = 640+8 ) thenif(vcnt 525) thenvcnt = vcnt + 1; else vcnt 0);end if; (接下頁(yè))(接下頁(yè)) end if; end if;end process;process(clk) beginif (rising_edge(clk) thenif(hcnt=640+8+8) and (hcnt640+8+8+96 ) then hs=0;else hs = 480+8+2) and (vcnt480+8+2+2) the

27、n vs = 0;else vs=1; end if;end process;process(clk) beginif (rising_edge(clk) thenif (hcnt640 and vcnt480) thenr=rgbin(2); g=rgbin(1); b=rgbin(0);else r=0; g=0; b=0; end if;end if;end process;end ONE;13.3 步進(jìn)電機(jī)細(xì)分驅(qū)動(dòng)控制步進(jìn)電機(jī)細(xì)分驅(qū)動(dòng)控制 1 1、步進(jìn)電機(jī)細(xì)分驅(qū)動(dòng)原理、步進(jìn)電機(jī)細(xì)分驅(qū)動(dòng)原理 2 2、步距細(xì)分的系統(tǒng)構(gòu)成、步距細(xì)分的系統(tǒng)構(gòu)成 圖圖13-5 四相步進(jìn)電機(jī)四相步進(jìn)電機(jī)8細(xì)分電流

28、波形細(xì)分電流波形 13.3 步進(jìn)電機(jī)細(xì)分驅(qū)動(dòng)控制步進(jìn)電機(jī)細(xì)分驅(qū)動(dòng)控制 2 2、步距細(xì)分的系統(tǒng)構(gòu)成、步距細(xì)分的系統(tǒng)構(gòu)成 圖圖13-6 步進(jìn)電機(jī)細(xì)分驅(qū)動(dòng)電路結(jié)構(gòu)圖步進(jìn)電機(jī)細(xì)分驅(qū)動(dòng)電路結(jié)構(gòu)圖 13.3 步進(jìn)電機(jī)細(xì)分驅(qū)動(dòng)控制步進(jìn)電機(jī)細(xì)分驅(qū)動(dòng)控制 2 2、步距細(xì)分的系統(tǒng)構(gòu)成、步距細(xì)分的系統(tǒng)構(gòu)成 圖圖13-7 步進(jìn)電機(jī)步進(jìn)電機(jī)PWM細(xì)分控制控制電路圖細(xì)分控制控制電路圖 13.3 步進(jìn)電機(jī)細(xì)分驅(qū)動(dòng)控制步進(jìn)電機(jī)細(xì)分驅(qū)動(dòng)控制 2 2、步距細(xì)分的系統(tǒng)構(gòu)成、步距細(xì)分的系統(tǒng)構(gòu)成 圖圖13-8 圖圖13-7中的中的cmp3模塊模塊 13.3 步進(jìn)電機(jī)細(xì)分驅(qū)動(dòng)控制步進(jìn)電機(jī)細(xì)分驅(qū)動(dòng)控制 2 2、步距細(xì)分的系統(tǒng)構(gòu)成、步距細(xì)分

29、的系統(tǒng)構(gòu)成 圖圖13-9 PWM波形波形ROM存儲(chǔ)器存儲(chǔ)器 13.3 步進(jìn)電機(jī)細(xì)分驅(qū)動(dòng)控制步進(jìn)電機(jī)細(xì)分驅(qū)動(dòng)控制 3 3、細(xì)分電流信號(hào)的實(shí)現(xiàn)、細(xì)分電流信號(hào)的實(shí)現(xiàn) 4 4、細(xì)分驅(qū)動(dòng)性能的改善、細(xì)分驅(qū)動(dòng)性能的改善 5 5、細(xì)工作時(shí)序分析、細(xì)工作時(shí)序分析 13.3 步進(jìn)電機(jī)細(xì)分驅(qū)動(dòng)控制步進(jìn)電機(jī)細(xì)分驅(qū)動(dòng)控制 圖圖13-10 步進(jìn)電機(jī)步進(jìn)電機(jī)PWM仿真波形圖(注意,圖中仿真波形圖(注意,圖中clk與與clk5交換)交換) 13.3 步進(jìn)電機(jī)細(xì)分驅(qū)動(dòng)控制步進(jìn)電機(jī)細(xì)分驅(qū)動(dòng)控制 圖圖13-11 展開(kāi)后的步進(jìn)電機(jī)展開(kāi)后的步進(jìn)電機(jī)PWM仿真波形圖(注意,圖中仿真波形圖(注意,圖中clk與與clk5交換)交換) 13

30、.3 步進(jìn)電機(jī)細(xì)分驅(qū)動(dòng)控制步進(jìn)電機(jī)細(xì)分驅(qū)動(dòng)控制 6 6、硬件驗(yàn)證、硬件驗(yàn)證 【例【例13-4】-元件元件CNT8LIBRARY IEEE; - 8進(jìn)制計(jì)數(shù)器進(jìn)制計(jì)數(shù)器USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CNT8 IS PORT ( CLK : IN STD_LOGIC; CQ : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);END CNT8;ARCHITECTURE behav OF CNT8 IS SIGNAL CQI : STD_LOGIC_VECTOR(4 DOWNTO 0);BEGIN PROCESS(CLK) BEGIN IF CLKEVENT AND CLK = 1 THEN CQI = CQI + 1; END IF; END PROCESS; CQ D D D D NULL ; END CASE ; END PROCESS ; PROCESS(CLK) BEGIN IF CLKEVENT AND CLK = 1 THEN CQ = A; END IF; END PROCESS;END ; 13.

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論