版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認(rèn)領(lǐng)
文檔簡介
1、目錄 引言11mfsk簡介12 mfsk調(diào)制電路的fpga實現(xiàn)22.1 基于fpga的mfsk調(diào)制電路方框圖32.2mfsk調(diào)制電路vhdl程序52.3仿真結(jié)果53.總結(jié)5參考文獻6引言數(shù)字信號傳輸系統(tǒng)分為基帶傳輸系統(tǒng)和頻帶傳輸系統(tǒng)頻帶傳輸系統(tǒng)也叫數(shù)字調(diào)制系統(tǒng)。數(shù)字調(diào)制信號又稱為鍵控信號,數(shù)字調(diào)制過程中處理的是數(shù)字信號,而載波有振幅、頻率和相位3個變量,且二進制的信號只有高低電平兩個邏輯量1和0,所以調(diào)制的過程可用鍵控的方法由基帶信號對載頻信號的振幅、頻率及相位進行調(diào)制,最基本的方法有3種:正交幅度調(diào)制(qam)、頻移鍵控(fsk)、相移鍵控(psk)根據(jù)所處理的基帶信號的進制不同分為二進制和
2、多進制調(diào)制(m 進制)多進制數(shù)字調(diào)制與二進制相比,其頻譜利用率更高。本文研究了基于fpga的mfsk(多頻鍵控)調(diào)制電路的實現(xiàn)方法,并給出了max+plusii環(huán)境下的仿真結(jié)果。1 mfsk簡介mfsk系統(tǒng)是2fsk(二頻鍵控)系統(tǒng)的推廣,該系統(tǒng)有m個不同的載波頻率可供選擇,每一個載波頻率對應(yīng)一個m進制碼元信息,即用多個頻率不同的正弦波分別代表不同的數(shù)字信號,在某一碼元時間內(nèi)只發(fā)送其中一個頻率。mfsk信號可表示為:為載波角頻率,通常采用相位不連續(xù)的振蕩頻率,這樣便于利用合成器來提供穩(wěn)定的信號頻率。圖1 為mfsk系統(tǒng)的原理框圖。在發(fā)送端,輸入的二進制碼元經(jīng)過邏輯電路和串/并變換電路轉(zhuǎn)換為m進
3、制碼元,每k位二進制碼分為一組,用來選擇不同的發(fā)送頻率。在接收端,當(dāng)某一載波頻率到來時,只有相應(yīng)頻率的帶通濾波器能收到信號,其它帶通濾波器輸出的都是噪聲。抽樣判決器的任務(wù)就是在某一時刻比較所有包絡(luò)檢波器的輸出電壓,通過選擇最大值來進行判決。將最大值輸出就得到一個m進制碼元,然后,再經(jīng)過邏輯電路轉(zhuǎn)換成k位二進制并行碼,再經(jīng)過并/串變換電路轉(zhuǎn)換成串行二進制碼,從而完成解調(diào)過程。圖1 mfsk系統(tǒng)原理框圖2 mfsk調(diào)制電路的fpga實現(xiàn)2.1 基于fpga的mfsk調(diào)制電路方框圖 調(diào)制電路方框圖如圖2所示?;鶐盘柾ㄟ^串/并轉(zhuǎn)換得到2位并行信號;四選一開關(guān)根據(jù)兩位并行信號選擇相應(yīng)的載波輸出(例中m
4、取4)。圖2 mfsk調(diào)制電路方框圖2.2 mfsk調(diào)制電路vhdl程序調(diào)制電路vhdl關(guān)鍵代碼如下:entity mfsk isport(clk :in std_logic; -系統(tǒng)時鐘 start :in std_logic; -開始調(diào)制信號 x :in std_logic; -基帶信號 y :out std_logic); -調(diào)制信號end mfsk;architecture behav of mfsk issignal q :integer range 0 to 15; -計數(shù)器signal f :std_logic_vector(3 downto 0); -分頻器signal xx:
5、std_logic_vector(1 downto 0); -寄存輸入信號x的2位寄存器signal yy:std_logic_vector(1 downto 0); -寄存xx信號的寄存器beginprocess(clk) -此進程過對clk進行分頻,得到4種載波信號f3、f2、 f1、f0。beginif clkevent and clk=1 then if start=0 then f=0000; elsif f=1111 then f=0000; else f=f+1; end if;end if;end process;process(clk) -對輸入的基帶信號x進行串/并轉(zhuǎn)換,得
6、到2位并行信號的yy beginif clkevent and clk=1 then if start=0 then q=0; elsif q=0 then q=1;xx(1)=x;yy=xx; elsif q=8 then q=9;xx(0)=x; else q=q+1; end if;end if;end process;process(clk,yy) -此進程完成對輸入基帶信號x的mfsk調(diào)制beginif clkevent and clk=1 then if start=0 then y=0; - if語句完成2位碼并行碼到4種載波的選通 elsif yy=00 then y=not
7、f(3); elsif yy=01 then y=not f(2); elsif yy=10 then y=not f(1); else y=not f(0); end if;end if;end process;end behav;2.3 仿真結(jié)果max+plusii環(huán)境下的仿真結(jié)果如圖3所示。圖3 mfsk調(diào)制程序仿真結(jié)果注:中間信號yy與輸出調(diào)制信號y的對應(yīng)關(guān)系:“00”=f3;“01”=f2;“10”=f1;“11”=f0。3 總結(jié)多進制數(shù)字調(diào)制技術(shù)與fpga的結(jié)合使得通信系統(tǒng)的性能得到了迅速的提高。本文基于fpga實現(xiàn)了mfsk調(diào)制電路部分,限于篇幅,沒有對解調(diào)部分的電路進行討論。在實際應(yīng)用中,完全可以把調(diào)制部分和解調(diào)部分電路都集成到一片fpga芯片內(nèi),這樣即提高了fpga內(nèi)部結(jié)構(gòu)的利用率,又可以降低系統(tǒng)的成本。參考文獻:1 張學(xué)平,王應(yīng)
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
- 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 2025版小程序SDK接入授權(quán)合同模板3篇
- 2025年度美容院加盟店品牌形象保護合同范本4篇
- 2025版國際合同授權(quán)委托書定制模板3篇
- 城市配送與物流配送環(huán)節(jié)的信息互聯(lián)互通考核試卷
- 常州鋰電池生產(chǎn)廠2025年度消防設(shè)備采購合同2篇
- 二零二五年度古法工藝木屋建造技藝傳承合同4篇
- 物業(yè)設(shè)施設(shè)備維護2025年度合同3篇
- 設(shè)備租賃公司二零二五年度施工塔吊租賃合同
- 2025年代理銷售分銷鏈銷售協(xié)議
- 2025年因施工責(zé)任賠償協(xié)議
- 開展課外讀物負(fù)面清單管理的具體實施舉措方案
- 2025年云南中煙工業(yè)限責(zé)任公司招聘420人高頻重點提升(共500題)附帶答案詳解
- 2025-2030年中國洗衣液市場未來發(fā)展趨勢及前景調(diào)研分析報告
- 2024解析:第三章物態(tài)變化-基礎(chǔ)練(解析版)
- 北京市房屋租賃合同自行成交版北京市房屋租賃合同自行成交版
- 《AM聚丙烯酰胺》課件
- 技術(shù)支持資料投標(biāo)書
- 老年人意外事件與與預(yù)防
- 預(yù)防艾滋病、梅毒和乙肝母嬰傳播轉(zhuǎn)介服務(wù)制度
- 《高速鐵路客運安全與應(yīng)急處理》課程標(biāo)準(zhǔn)
- 23J916-1:住宅排氣道(一)
評論
0/150
提交評論