vhdl語言要素答辯課件_第1頁
vhdl語言要素答辯課件_第2頁
vhdl語言要素答辯課件_第3頁
vhdl語言要素答辯課件_第4頁
vhdl語言要素答辯課件_第5頁
已閱讀5頁,還剩44頁未讀 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權,請進行舉報或認領

文檔簡介

1、CHAPTER 2 vhdl language element()Object in VHDL()Data type in VHDL()Operation actor in VHDL()Object in VHDLObject : be used to keep data1、 CONSTANT2、 SIGNAL3、 VARIABLEObject active zone1、Global in objectObject is declared in package or entity。2、Partial in objectObject is declared in architecture 。1、

2、 CONSTANT CONSTANT: value in projet dont changeFormat:CONSTANT name:data type:=expression;For example:CONSTANT pi : REAL :=3.14;CONSTANT Fbus : BIT_VECTOR := “1011”; Constant can be evaluated one time and the value should be accord with the defined data type。Evaluate symbol is“:=”。 1、 CONSTANTDefini

3、ng one constant is primarily in order to make some volume easy to read AND modifyconstant active zone1、when constant is declared in package or entity, constant is global.2、when constant is declared in architecture or process, constant is partial 。2、signal Signal can be abstracted as hardware connect

4、ion in circuit, correspond one connecting wire of hardware design。Format:SIGNAL name : data type:=expression;For example:SIGNAL reset : = STD_LOGIC : =1;SIGNAL data :STD_LOGIC_VECTOR (7 DOWNTO 0);Signal can be seriesly evaluated and the value should be accord with the defined data type。Evaluate symb

5、ol is“=”。2、signalValuation of signal is not effective immediately, there are a certain time delay。when signal is declared in package or entity, signal is global.when signal is declared in architecture, signal is partial 。3、 VARIABLE Variable is mostly used in local storage for the present data.it is

6、 a partial volume,only in process statement, procedure statement and function statement。Format:VARIABLE name: data type:=expression;VARIABLE enable := STD_LOGIC;Variable can be seriesly evaluated and the value should be accord with the defined data type。Evaluate symbol is“:=”。3、 VARIABLEValuation of

7、 variable is effective immediately and it is poetical data transmission。variable is declared in process、 procedure or function.LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY example IS PORT(clk: INSTD_LOGIC; q: OUTSTD_LOGIC);END example;ARCHITECTURE a OF example ISSI

8、GNAL temp : STD_LOGIC_VECTOR(0 TO 2);BEGINcount: PROCESS (clk)VARIABLE counter: STD_LOGIC_VECTOR(0 TO 2):=000; BEGINIF (clkEVENT AND clk=1) THEN counter:=counter+1;END IF;temp=counter; END PROCESS;Example output: PROCESS (temp) BEGINIF(temp=111) THEN q=1;ELSE q=0;END IF;END PROCESS;END a; Example ()

9、DATA TYPE OF VHDL1、Every object only can have single data type, and only can possess the value of the data type;2、Operational type must match the type of object;3、VHDL does not allow direct operations (arithmetic,logical,etc.)between data of different types;4、when the data types are same whereas bit

10、 lengths are different, direct operations are not allowed 。 ()DATA TYPE OF VHDL1、INTEGER:32-bitintegers(from -2,147,483,647 to2,147,483,647CONSTANT max :INTEGER :=128;SIGNAL num:INTEGER RANGE 0 TO 100;VARIABLE f:INTEGER RANGE 6 TO 9;2、REAL Real numbers ranging from -1.0E38+1.0E38。CONSTANT max :REAL

11、:=128.0;SIGNAL num: REAL RANGE 0.0 TO 1.0E2;VARIABLE f:REAL RANGE 6.0 TO 9.0;3、BITBIT :2-levellogic(0,1). SIGNAL tmp:BIT:=0; SIGNAL x : BIT;- x is declared as a one-digit signal of type BIT.4、BIT_VECTOR tmp:BIT_VECTOR(0 TO1):=“01” SIGNAL s1:BIT_VECTOR(15 DOWNTO 0); BIT_VECTOR :2-level logic (0,1).SI

12、GNAL w : BIT_VECTOR (0TO7);5、BOOLEANTRUE、FALSE6、CHARACTER: Character literals: Single ASCII character of such characters. Not synthesizable.CHARACTER( 1)7、STRING:string literals: ASCII characters of such characters. Not synthesizable.VATIABLE string_1 : STRING (0 TO 3);string_1:= “a b c d”;8、 Physic

13、al literals20 s,100 ns,3 sec。 Used to inform physical quantities,like time , voltage, etc . Useful In simulations . Not synthesizable.9、SEVERITY LEVEL:note,warning,error,failure10、NATURALNon-negative integers (from 0 to +2,147,483,647).11、 STD_LOGIC STD_LOGIC_VECTOR :9-valued logic system introduced

14、 in The IEEE 1164 standard.U initial valueX Forcing Unknown(synthesizable unknown)0 Forcing Low(synthesizable logic 1)1 Forcing High(synthesizable logic 0)Z High impedance(synthesizable tri-state buffer)W Weak unknownL Weak lowH Weak high Dont careSIGNAL x:STD_LOGIC;-x is declared as a one-digit (sc

15、alar) signal of type STD_LOGIC.SIGNAL y: STD_LOGIC_VECTOR (3 DOWNTO 0):= 0001;-y is declared as a 4-bit vector, with the left most bit being the MSB. The initial value (optional)of y is 0001.Notice that the :=“ operator is used to establish the initial value.Such data type defnitions can be found in

16、 the following packages/libraries:Package standard of library std :Defines BIT,BOOLEAN,INTEGER,andREAL datat ypes.Package std_logic_1164 of library ieee:Defines STD_LOGIC and STD_ULOGIC data types.Package std_logic_arith of library ieee:Defines SIGNED and UNSIGNED datatypes.Packages std_logic_signed

17、 and std_logic_unsignedof library ieeeUser-Defined Data Types VHDL also allows the user to define his/her own data types.TYPE integer IS RANGE -2147483647 TO +2147483647;- This is indeed the pre-defined type INTEGER.TYPE natural IS RANGE 0 TO +2147483647;- This is indeed the pre-defined type NATURAL

18、.TYPE my_integer IS RANGE -32 TO 32;- A user-defined subset of integers.TYPE bit IS (0, 1);- This is indeed the pre-defined type BITTYPE my_logic IS (0, 1, Z);- A user-defined subset of std_logic.Subtypes The main reason for using a subtype rather than specifying a new type is that, though operation

19、s between data of different types are not allowed, they are allowed between a subtype and its corresponding base type.SUBTYPE my_logic IS STD_LOGIC RANGE 0 TO Z; -Recall that STD_LOGIC=(X,0,1,Z,W,L,H,-). -Therefore, my_logic=(0,1,Z). SUBTYPE small_integer IS INTEGER RANGE -32 TO 32;- A subtype of IN

20、TEGER.Example: Legal and illegal operations between types and subtypes.SUBTYPE my_logic IS STD_LOGIC RANGE 0 TO 1;SIGNAL a: BIT;SIGNAL b: STD_LOGIC;SIGNAL c: my_logic;.b = a; -illegal (type mismatch: BIT versus STD_LOGIC)b = c; -legal (same base type: STD_LOGIC)Data Conversion VHDL does not allow di

21、rect operations (arithmetic, logical, etc.) between data of different types. Therefore, it is often necessary to convert data from one type to another. This can be done in basically two ways: or we write a piece of VHDL code for that, or we invoke a FUNCTION from a pre-defined PACKAGE which is capab

22、le of doing it for us.functionSTD_LOGIC_1164TO_STD_LOGIC_VECTOR(A)TO_BIT_VECTOR(A)TO_STD_LOGIC (A)TO_BIT(A)Convert BIT_VECTOR to STD_LOGIC_VECTORConvert STD_LOGIC_VECTOR to BIT_VECTORConvert BIT to STD_LOGICConvert STD_LOGIC to BITSTD_LOGIC_ARITHCONV_STD_LOGIC_VECTOR(A,bit length)CONV_INTEGER(A)Conv

23、ert INTEGER、UNSIGNED、SIGNED to STD_LOGIC_VECTORConvert UNSIGNED、SIGNED to INTEGERSTD_LOGIC_UNSIGNCONV_INTEGER(A)Convert STD_LOGIC to INTEGERExample: Data conversion. LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_arith.all; . SIGNAL a: IN UNSIGNED (7 DOWNTO 0);SIGNAL b: IN UNSIGNED (7

24、 DOWNTO 0); SIGNAL y: OUT STD_LOGIC_VECTOR (7 DOWNTO 0); . y= CONV_STD_LOGIC_VECTOR (a+b), 8); -Legal operation: a+b is converted from UNSIGNED -8-bit STD_LOGIC_VECTOR value, then assigned to to y. LIBRARY ieee;USE ieee.std_logic_1164.ALL;USE ieee.std_logic_unsigned.ALL;ENTITY sel1in4 ISPORT(a: INST

25、D_LOGIC_VECTOR(1 downto 0); d: INSTD_LOGIC_VECTOR(3 downto 0);f: OUTSTD_LOGIC);END sel1in4;ARCHITECTURE sel OF sel1in4 ISBEGINf=d(conv_integer(a);END sel;Synthesizable data types. Data types Synthesizable values BIT, BIT_VECTOR 0, 1 STD_LOGIC, STD_LOGIC_VECTOR X, 0, 1, Z STD_ULOGIC, STD_ULOGIC_VECTO

26、R X, 0, 1, Z BOOLEAN True, False NATURAL From 0 to 2, 147, 483, 647 INTEGER From -2,147,483,647 to +2,147,483,647 SIGNED From -2,147,483,647 to +2,147,483,647 UNSIGNED From 0 to 2, 147, 483, 647 User-defined integer type SUBTYPE Subset of any type (pre-or user-defined) ()Operators(1)Assignment Opera

27、torsAre used to assign values to signals, variables, and constants. They are: Used to assign values to individual vector elements or with OTHERS.Example: Consider the following signal and variable declarations:SIGNAL x : STD_LOGIC;VARIABLE y : STD_LOGIC_VECTOR(3 DOWNTO 0);SIGNAL w: STD_LOGIC_VECTOR(

28、0 TO 7);Then the following assignments are legal:x = 1; - 1 is assigned to SIGNAL x using =y := 0000; - 0000 is assigned to VARIABLE y using :=w = 10000000; - LSB is 1, the others are 0w 1, OTHERS =0); - LSB is 1, the others are 0()Operators(2)Logical OperatorsUsed to perform logical operations. The

29、 data must be of type BIT, STD_LOGIC,or STD_ULOGIC (BIT_VECTOR, STD_LOGIC_VECTOR, or STD_ULOGIC_VECTOR) The logical operators are:NOT、AND、OR、NAND、NOR、XOR、XNORNotes: The NOT operator has precedence over the others. The XNOR operator wasintroduced in VHDL93.Examples:y = NOT a AND b; - (a.b)y = NOT (a

30、AND b); - (a.b)y = a NAND b; - (a.b)(3)Arithmetic OperatorsUsed to perform arithmetic operations. The data can be of type INTEGER, SIGNED, UNSIGNED, or REAL. Also, if the std_logic_signed or the std_logic_unsigned package of the ieeelibrary is used, then STD_LOGIC_VECTOR can also be employed directl

31、y in addition and subtraction operations + * / MOD REM *ABS(4)Comparison OperatorsUsed for making comparisons. The data can be of any of the types listed above. The relational (comparison) operators are:=Equal to/=Not equal to Greater than=Greater than or equal to(5)Shift Operatorssll Shift left log

32、ic positions on the right are filled with 0ssrl Shift right logic positions on the left are filled with 0sROLROR& SIGNAL g,h,i:STD_LOGIC;SIGNAL c,d,e:STD_LOGIC _VECTOR(1 TO 0); d = i & NOT h; a = c & d;The pre-defined, synthesizable data attributes are the following: dLOW: Returns lower array index

33、dHIGH: Returns upper array index dLEFT: Returns leftmost array index dRIGHT: Returns rightmost array index dLENGTH: Returns vector size dRANGE: Returns vector range dREVERSE_RANGE: Returns vector range in reverse order()Data AttributesExample: Consider the following signal:SIGNAL d : STD_LOGIC_VECTOR (7 DOWNTO 0);Then:dLOW=0, dHIGH=7, dLEFT=7, dRIGHT=0, dLENGTH=8,dRANGE=(7 downto 0),dREVERSE_RANGE=(0 to 7).Example: Consider the fol

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論