大一數(shù)字邏輯大作業(yè)(共33頁(yè))_第1頁(yè)
大一數(shù)字邏輯大作業(yè)(共33頁(yè))_第2頁(yè)
大一數(shù)字邏輯大作業(yè)(共33頁(yè))_第3頁(yè)
大一數(shù)字邏輯大作業(yè)(共33頁(yè))_第4頁(yè)
大一數(shù)字邏輯大作業(yè)(共33頁(yè))_第5頁(yè)
已閱讀5頁(yè),還剩37頁(yè)未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、哈爾濱工業(yè)大學(xué)數(shù)字邏輯課程大作業(yè)PAGE PAGE 42By孫一鈾、周擎陽(yáng)、王崢 大一(d y)數(shù)字邏輯大作業(yè)乒乓球比賽(bsi)模擬機(jī)的設(shè)計(jì) 題 目: 乒乓球比賽(bsi)模擬機(jī)的設(shè)計(jì) 院 系: 軟件學(xué)院 專業(yè)年級(jí): 14 姓名與學(xué)號(hào): 王崢 1143710510 孫一鈾 1143710513 周擎陽(yáng) 1143710312 指導(dǎo)老師: 張彥航 2015年6月18日目錄(ml)1 緒論(xln)1.1設(shè)計(jì)(shj)目的31.2設(shè)計(jì)要求32 電路組成及工作原理2.1系統(tǒng)邏輯模型42.1.1系統(tǒng)模塊需求42.1.2組員分工42.2系統(tǒng)模塊結(jié)構(gòu)42.2.1全局控制模塊4連續(xù)四脈沖發(fā)生單元5數(shù)據(jù)初始化

2、單元6倒計(jì)時(shí)顯像單元7 開(kāi)球信號(hào)產(chǎn)生單元 8 模塊總體設(shè)計(jì)展示 9.1邏輯圖9.1波形圖10 2.2.2中央控制模塊 10 程序綜述 10 代碼實(shí)現(xiàn)11實(shí)現(xiàn)效果13 2.2.3計(jì)數(shù)模塊14局比分計(jì)數(shù)單元14大比分計(jì)數(shù)單元15 數(shù)據(jù)清零單元16 信息反饋單元17 顯像管顯示(xinsh)單元183 調(diào)試(dio sh)過(guò)程3.1全局控制(kngzh)模塊203.2中央控制模塊243.3計(jì)數(shù)模塊264 設(shè)計(jì)結(jié)論275 設(shè)計(jì)心得與總結(jié)28附錄一:總體器件表及相關(guān)器件的功能表、管腳分布 29附錄二:總體設(shè)計(jì)圖 30附錄三:仿真結(jié)果32附錄四:工作說(shuō)明32參考文獻(xiàn)32 1 緒論隨著科學(xué)技術(shù)的發(fā)展,人類社

3、會(huì)已進(jìn)入到高度發(fā)達(dá)的信息化社會(huì), 信息社會(huì)的發(fā)展離不開(kāi)電子產(chǎn)品的進(jìn)步?,F(xiàn)代電子產(chǎn)品的發(fā)展越來(lái)越快, 各種新型電子元器件和智能化的電子產(chǎn)品已經(jīng)在國(guó)民經(jīng)濟(jì)的各個(gè)領(lǐng)域和人民生活的各個(gè)方面得到了日益廣泛的應(yīng)用。實(shí)現(xiàn)這種進(jìn)步的主要原因就是生產(chǎn)制造技術(shù)和電子設(shè)計(jì)技術(shù)的發(fā)展。其中電子玩具的發(fā)展也是在日益成熟。乒乓球游戲機(jī)控制電路是有甲、乙雙方參賽,自動(dòng)控制發(fā)“球”的三人乒乓球游戲機(jī);它能完成自動(dòng)裁判和自動(dòng)計(jì)分是一個(gè)帶數(shù)字顯示的模擬游戲機(jī)。其結(jié)構(gòu)簡(jiǎn)單、成本低、易操作,安全性強(qiáng)、無(wú)污染。乒乓球游戲機(jī)還能在娛樂(lè)的同時(shí)提高我們的反應(yīng)、應(yīng)變能力。具有良好的市場(chǎng)發(fā)展前景。1.1 設(shè)計(jì)目的本次課程設(shè)計(jì)的內(nèi)容是獨(dú)立完成一個(gè)

4、乒乓球比賽游戲機(jī)的設(shè)計(jì),采用Max+Plus2電路仿真設(shè)計(jì)軟件完成乒乓球比賽游戲機(jī)電路的設(shè)計(jì)及仿真調(diào)試,在微機(jī)上仿真實(shí)現(xiàn)乒乓球比賽游戲機(jī)的設(shè)計(jì)。通過(guò)這次課程設(shè)計(jì)讓我們了解和熟悉了乒乓球游戲機(jī)的原理和Max+Plus2仿真設(shè)計(jì)軟件的操作,也讓我們加深了解了對(duì)雙向移位寄存器、雙D觸發(fā)器及邏輯門電路的一些實(shí)際用途,并將理論與實(shí)踐相結(jié)合。1.2 設(shè)計(jì)(shj)要求至少(zhsho)用8個(gè)LED排成直線,以中點(diǎn)為界,兩邊各代表參賽雙方的位置(wi zhi),其中一個(gè)點(diǎn)亮的LED(乒乓球)依次從左到右,或從右到左移動(dòng),“球”的移動(dòng)速度可以調(diào)節(jié)。當(dāng)球(被點(diǎn)亮的那只LED)移動(dòng)到某方的最后一位時(shí),參賽者應(yīng)該果

5、斷按下自己的按扭使“球”轉(zhuǎn)向,即表示啟動(dòng)球拍擊中,若行動(dòng)遲緩或超前,表示未擊中或違規(guī),則對(duì)方得一分。設(shè)計(jì)甲乙雙方自動(dòng)記分電路,用數(shù)碼管顯示得分,每記滿11分為一局。甲乙雙方各設(shè)一個(gè)發(fā)光二極管表示擁有發(fā)球權(quán),每得5分自動(dòng)交換發(fā)球權(quán),擁有發(fā)球權(quán)的一方發(fā)球才能有效。能顯示發(fā)球次數(shù)。附加功能設(shè)計(jì):一方得分,電路自動(dòng)提示3秒,此期間發(fā)球無(wú)效某方達(dá)到11分后自動(dòng)清0重新計(jì)數(shù),用LED燈管顯示獲勝局?jǐn)?shù) 2 電路組成及工作原理2.1 系統(tǒng)邏輯模型2.1.1 系統(tǒng)(xtng)模塊需求(1)全局(qunj)控制模塊:1、外界輸入(shr)的全局開(kāi)始信號(hào)2、接受一個(gè)回合的結(jié)束信號(hào),在數(shù)碼管上顯示倒計(jì)時(shí),倒計(jì)時(shí)期間發(fā)

6、球無(wú)效。3、接受一局結(jié)束的信號(hào),使大部分單元清0功能。4、接受計(jì)數(shù)模塊送來(lái)的換發(fā)球信號(hào),交換發(fā)球權(quán)。(2)中央控制模塊:1、外界輸入的揮拍信號(hào)2、全局控制模塊送來(lái)的開(kāi)球使能信號(hào)3、模擬球臺(tái)上顯示球的雙向移動(dòng)4、回合結(jié)束后給出一個(gè)回合的結(jié)束信號(hào)(3)計(jì)數(shù)模塊:1、接受一個(gè)回合的結(jié)束信號(hào),在數(shù)碼管上顯示比分計(jì)數(shù) 2、在數(shù)碼管上顯示發(fā)球計(jì)數(shù)3、在數(shù)碼管上顯示雙方獲勝局?jǐn)?shù)統(tǒng)計(jì)4、一局結(jié)束后送出一局結(jié)束的信號(hào)5、每記滿5分送出交換發(fā)球信號(hào)2.1.2 組員分工(1) 全局控制模塊:孫一鈾(2) 中央控制模塊:周擎陽(yáng)(3) 計(jì)數(shù)模塊:王錚2.2 系統(tǒng)模塊結(jié)構(gòu)2.2.1 全局控制單元連續(xù)四脈沖發(fā)生單元1、單元

7、需求:當(dāng)一個(gè)回合開(kāi)始信號(hào)脈沖到來(lái)時(shí),從第一個(gè)時(shí)鐘上升沿開(kāi)始拷貝連續(xù)四段波形。2、單元目的:給倒計(jì)時(shí)顯像單元連續(xù)四個(gè)脈沖便于顯像3、單元管腳:Start(In):回合開(kāi)始信號(hào),由中央控制單元給出,經(jīng)技術(shù)單元轉(zhuǎn)發(fā)到該模塊Clean(In):清0端Clock(In):時(shí)鐘端Mb(In):恒為1Ma(In):由數(shù)據(jù)初始化單元給定D_cp(Out):連續(xù)四脈沖輸出時(shí)鐘端4、單元設(shè)計(jì)思路:該單元由兩部分構(gòu)成(guchng),一部分由雙D觸發(fā)器構(gòu)成,一部分由74194雙向移位寄存器構(gòu)成,采用雙D觸發(fā)器能使在第四個(gè)脈沖到來(lái)時(shí)不再產(chǎn)生多余脈沖(具體原理(yunl)設(shè)計(jì)可參見(jiàn)調(diào)試過(guò)程),采用74194雙向移位計(jì)數(shù)

8、其來(lái)控制電路產(chǎn)生(chnshng)正好四個(gè)脈沖,從邏輯圖中可知,ABC端接地,D端接電源,每次回合開(kāi)始信號(hào)到來(lái)時(shí),Ma先送入一個(gè)邏輯“1”信號(hào),而Mb端恒為“0”,此時(shí)進(jìn)行讀操作,后來(lái)Ma置為“0”,此時(shí)進(jìn)行左移操作,當(dāng)左移四次后,Qa端產(chǎn)生一個(gè)下降沿,由雙D觸發(fā)器控制74194不再進(jìn)行工作,同時(shí)數(shù)據(jù)清0.5、單元邏輯圖展示:6、單元波形圖展示:數(shù)據(jù)初始化單元1、單元需求:當(dāng)一個(gè)開(kāi)始信號(hào)到來(lái)時(shí),給出一個(gè)Ma的“0-1-0”脈沖,要求該脈沖上升沿與start信號(hào)重合,下降沿與start信號(hào)后第一個(gè)時(shí)鐘信號(hào)的下降沿重合。保證在第一個(gè)時(shí)鐘上升沿到來(lái)時(shí)2、單元目的:外界信號(hào)只有Start、Clock

9、所以Ma信號(hào)一定是由電路自身給定,要求該脈沖正好覆蓋start上升沿后的第一個(gè)時(shí)鐘。3、單元管腳:Start(In):回合開(kāi)始信號(hào),由中央控制單元給出,經(jīng)技術(shù)單元轉(zhuǎn)發(fā)到該模塊Clock(In):時(shí)鐘端Ma(Out):初始化信號(hào)輸出端4、單元(dnyun)設(shè)計(jì)思路:該單元(dnyun)由三個(gè)部分構(gòu)成,右邊的部分由D觸發(fā)器構(gòu)成,該部分功能是1個(gè)摩2計(jì)數(shù)器,時(shí)鐘端僅接法如圖所示,僅當(dāng)start上升沿與有效時(shí)鐘的下降(xijing)沿觸發(fā)。當(dāng)Start信號(hào)上升沿到來(lái)時(shí),上部分的D觸發(fā)器的清0端被置為1,時(shí)鐘端接收到有效的上升脈沖,Q端輸出由0變?yōu)?,下方的信號(hào)輸出方為有效(通過(guò)中央與門)。下方的部分由

10、兩個(gè)D觸發(fā)器構(gòu)成,第一個(gè)觸發(fā)器的功能也為模2計(jì)數(shù)器,第二個(gè)觸發(fā)器的清0端由第一個(gè)觸發(fā)器控制,僅當(dāng)?shù)谝粋€(gè)觸發(fā)器的Q端輸出為一時(shí),第二個(gè)觸發(fā)器才能正常工作,換言之,只有接收到一個(gè)時(shí)鐘上升沿后,再接受一個(gè)下降沿才是有效的信號(hào),僅僅一個(gè)下降沿的輸出是無(wú)效的。為什么要這么做呢?由下圖波形圖所示,由Start信號(hào)的任意性可知,Start信號(hào)的上升沿后,收到的第一個(gè)時(shí)鐘變化沿可能就是一個(gè)下降沿,然而這不是一個(gè)完整的時(shí)鐘信號(hào),不符合我們的需求,所以為了覆蓋一個(gè)完整的時(shí)鐘,所以只有當(dāng)遇到一個(gè)時(shí)鐘上升沿后,送入的下降沿才是有效的。5、單元邏輯圖展示:6、單元波形圖展示:倒計(jì)時(shí)顯像單元(dnyun)1、單元(dny

11、un)需求:根據(jù)送入連續(xù)四個(gè)脈沖分別(fnbi)顯示0-3-2-1-0字形。2、單元目的:用7段數(shù)碼管顯示倒計(jì)時(shí)信號(hào)3、單元管腳:(1)Clock(In):時(shí)鐘端,四個(gè)連續(xù)脈沖,由連續(xù)四脈沖發(fā)生單元給出(2)syy_a syy_g(Out):數(shù)碼管接入信號(hào) (3)Enable(Out):由syy_a給出,經(jīng)觀察發(fā)現(xiàn),syy_a端正好在四個(gè)脈沖結(jié)束后給出一個(gè)上升沿,正好可以作為送給中央控制單元的發(fā)球使能信號(hào)。4、單元設(shè)計(jì)思路:該單元分為兩個(gè)部分,第一個(gè)部分為雙D觸發(fā)器構(gòu)成的模4計(jì)數(shù)器,第二個(gè)部分為譯碼器電路。設(shè)計(jì)如下:邏輯表達(dá)式如下:a = A+ Bb = 1c = A+ Bd = ae = B

12、f = ABg = AB5、單元邏輯圖展示:5、單元(dnyun)波形圖展示: 開(kāi)球信號(hào)(xnho)產(chǎn)生單元1、單元(dnyun)需求:每一個(gè)結(jié)束信號(hào)到來(lái)時(shí),完成以下時(shí)序圖的轉(zhuǎn)變。2、單元目的:每一回合結(jié)束時(shí),交換發(fā)球權(quán)。3、單元管腳:(1) Change(In):換發(fā)球時(shí)鐘信號(hào),由計(jì)數(shù)單元給出(2) Ca(Out):邏輯0表示發(fā)球權(quán)不在a手中(3) Cb(Out):邏輯0表示發(fā)球權(quán)不在b手中4、單元設(shè)計(jì)思路:只有3種狀態(tài),所以用雙D觸發(fā)器即可實(shí)現(xiàn)該同步時(shí)序計(jì)數(shù)器的轉(zhuǎn)換5、單元(dnyun)邏輯圖展示:6、單元(dnyun)波形圖展示: 模塊(m kui)總體設(shè)計(jì)展示.1邏輯圖.1波形圖2.2

13、.2 中央(zhngyng)控制(kngzh)模塊(m kui)本單元難度較大,單純用連線圖的方式很難實(shí)現(xiàn)因此決定采用vhdl進(jìn)行硬件語(yǔ)言編程,從而更好的調(diào)節(jié)程序程序綜述程序圖如圖所示其中輸入:是時(shí)鐘端,負(fù)責(zé)接受時(shí)鐘信號(hào),是開(kāi)球信號(hào),是使能信號(hào),則是模擬(mn)揮拍信號(hào)輸出(shch):是模擬(mn)乒乓球的輸出信號(hào),是給計(jì)數(shù)模塊的勝負(fù)信號(hào)是比賽結(jié)束后送給計(jì)數(shù)模塊的一個(gè)上升沿端.2.2.2代碼實(shí)現(xiàn)由于對(duì)并不熟悉,在實(shí)現(xiàn)過(guò)程中部分參考了/link?url=WMEVDGLY90wHgMZNvq5aWxnvzPxfzKN29oUDH9m2hAUuFrLkuoJdHQrrx4yeISvYfmsiik5

14、8H_TROIdgU2fIRkLDeGYumeXkIAlZtegxhpq對(duì)代碼進(jìn)行了理解和重寫,寫出了自己的控制代碼library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity CENTER is port (clk:in STD_LOGIC;時(shí)鐘信號(hào)Ca:in STD_LOGIC;端發(fā)球信號(hào)Cb:in STD_LOGIC;端發(fā)球信號(hào)Enable: in STD_LOGIC;使能信號(hào)a:in STD_LOGIC;揮拍信號(hào)b:in STD_L

15、OGIC;揮拍信號(hào)ball:out STD_LOGIC_VECTOR(16 downto 0);模擬球的運(yùn)行Sa:out STD_uLOGIC;是否獲勝Sb:out STD_uLOGIC;時(shí)候獲勝over:inout STD_uLOGIC一局結(jié)束提供一個(gè)上升沿);end CENTER;architecture behavior of CENTER issignal direction : integer range 0 to 2; 指定方向:未發(fā)球signal num : integer range -1 to 17; 確定那盞燈點(diǎn)亮begin process (Enable,clk,a,b,

16、Ca,Cb) begin if Enable = 0 then 使能信號(hào)(xnho)未來(lái),初始化 over = 0; Sa = 0; Sb = 0; num = 1; direction = 2; elsif rising_edge(clk) then上升沿進(jìn)行(jnxng)判斷 if direction = 2 then未發(fā)球檢測(cè)(jin c)發(fā)球信號(hào) if Ca = 1 then direction = 0; num = 1; elsif Cb = 1 then direction = 1; num = 8; else direction = 2; num = 1; end if; els

17、if direction = 0 and num = 6 then擊中,反向 num = num - 1; direction = 1; Else未擊中,結(jié)束 direction = 2; Sa = 1; Sb = 0; over =not over; end if; else num = num + 1; direction = 1 then球從 if a = 1 then揮拍 if num = 2 then擊中,反向 num = num + 1; direction = 0; Else未擊中,結(jié)束 direction = 2; Sa = 0; Sb = 1; over = not over

18、; end if; else direction = 1; num ball ball ball ball ball ball ball ball ball =00000000;end case;end process;end behavior; 實(shí)現(xiàn)(shxin)效果與預(yù)期相同,證明程序正確2.2.3 計(jì)數(shù)(j sh)模塊局比分(b fn)計(jì)數(shù)單元1.單元(dnyun)需求:來(lái)自中央控制模塊的回合結(jié)束信號(hào),以及表示AB勝負(fù)關(guān)系的01,10信號(hào)2、單元目的:記錄單局比分(一局11分)3、單元管腳:CLK、CLN、QA、QB、QC、QD4、單元設(shè)計(jì)思路:利用74161的異步清零實(shí)現(xiàn)11進(jìn)制加法計(jì)

19、數(shù)器,利用中央控制模塊的回合結(jié)束信號(hào),以及表示AB勝負(fù)關(guān)系的01,10信號(hào),通過(guò)與門連接后作為計(jì)數(shù)器的時(shí)鐘端,則勝利方每回合結(jié)束后其計(jì)數(shù)器會(huì)得到一個(gè)信號(hào),是計(jì)數(shù)器加一,當(dāng)信號(hào)給出1011時(shí)計(jì)數(shù)器清零,并通過(guò)計(jì)數(shù)清零單元實(shí)現(xiàn)計(jì)數(shù)器的同時(shí)清零5、單元邏輯圖展示:6、單元波形圖展示:本部分借用顯示管顯像單元的波形圖展示局分計(jì)數(shù)器的功能大比分計(jì)數(shù)(j sh)單元1.單元(dnyun)需求:局分計(jì)數(shù)單元在一局比賽結(jié)束(jish)后發(fā)出的信號(hào)2、單元目的:記錄雙方的大比分3、單元管腳:CLK、QB、QC、QD4、單元設(shè)計(jì)思路:設(shè)置本游戲?yàn)榫啪治鍎僦疲?4290的五進(jìn)制功能實(shí)現(xiàn)五進(jìn)制加法計(jì)數(shù)器,由于74

20、161為異步清零,利用最后1011信號(hào)作為作為時(shí)鐘信號(hào),是每局比賽結(jié)束后獲勝方大比分加一,當(dāng)總分為5時(shí)比賽結(jié)束5、單元邏輯圖展示:6、單元波形圖展示:如圖當(dāng)A1A11的二極管全亮?xí)r(A得11分),QA1由0變1,即大比分加一數(shù)據(jù)(shj)清零單元1.單元(dnyun)需求:某一方(y fn)一局得分為11時(shí)給出的1011信號(hào)2、單元目的:當(dāng)一方先得到11分時(shí),雙分的比分同時(shí)清零3、單元管腳:CLK、QA、QB、QC、QD4、單元設(shè)計(jì)思路:通過(guò)與門連接QA、QB、QD端,并由抑或非門連接,當(dāng)且僅當(dāng)有一方為1011信號(hào)時(shí),異或非的輸出端會(huì)由1變0,此時(shí)傳給清零信號(hào),實(shí)現(xiàn)清零功能5、單元邏輯圖展示:

21、6、單元(dnyun)波形圖展示:當(dāng)A得到11分時(shí),AB的分?jǐn)?shù)同時(shí)清零(A1的下一個(gè)1的出現(xiàn)是由于(yuy)下一回合A又得了一分)信息反饋單元(dnyun)1.單元需求:比分計(jì)數(shù)器的清零信號(hào),以及中央控制模塊的回合結(jié)束信號(hào)2、單元目的:傳遞給全局控制單元開(kāi)球信號(hào)、每五個(gè)回合換發(fā)球的信號(hào)以及每局開(kāi)始信號(hào)3、單元管腳:clock、CLK、QA、QB、QC、QD4、單元設(shè)計(jì)思路:wave1為每五回合換發(fā)球信號(hào),由74290設(shè)計(jì)的五進(jìn)制加法計(jì)數(shù)器,利用其每五次一個(gè)的清零信號(hào)設(shè)計(jì)成一個(gè),wave2為每局開(kāi)始時(shí)的發(fā)球信號(hào),利用局分計(jì)數(shù)器1011信號(hào),同樣可以提供5、單元邏輯圖展示:6、單元(dnyun)波

22、形圖展示:二極管顯示(xinsh)單元1.單元(dnyun)需求:局分計(jì)數(shù)器和大比分計(jì)數(shù)器的記分信號(hào)2、單元目的:將二進(jìn)制數(shù)碼轉(zhuǎn)換為直觀的二極管顯示3、單元管腳:A1A11、B1B114、單元設(shè)計(jì)思路:通過(guò)發(fā)光二極管點(diǎn)亮的個(gè)數(shù)表示比分關(guān)系。則有下列真值表:A1 A2 A3 A4 A5 A6 A7 A8 A9 A10 A1100000 0 0 0 0 0 0 0 0 0 000010 0 0 0 0 0 0 0 0 000101 1 0 0 0 0 0 0 0 0 000111 1 1 0 0 0 0 0 0 0 001001 1 1 1 0 0 0 0 0 0 001011 1 1 1 1 0

23、 0 0 0 0 001101 1 1 1 1 1 0 0 0 0 0 01111 1 1 1 1 1 1 0 0 0 0 10001 1 1 1 1 1 1 1 0 0 0 10011 1 1 1 1 1 1 1 1 0 010101 1 1 1 1 1 1 1 1 1 010111 1 1 1 1 1 1 1 1 1 1由真值表可得:A1=A+B+C+DA2=A+B+CA3=A+B+CDA4=A+BA5=A+BD+BCA6=A+BCA7=A+BCDA8=AA9=AD+ACA10=ACA11=ACD則可以得出邏輯圖,同理可得出大比分計(jì)數(shù)器的二極管顯示(xinsh)單元的邏輯電路5、單元(dn

24、yun)邏輯圖展示:6、單元(dnyun)波形圖展示:3 調(diào)試(dio sh)過(guò)程3.1 全局控制(kngzh)模塊 By 孫一鈾Debug1:連續(xù)四脈沖發(fā)生(fshng)單元還還只處于雛形的時(shí)候。邏輯圖如圖所示:但無(wú)論如何怎么給波形,Qa,Qb,Qc,Qd四個(gè)輸出端都是平的,如圖所示;后來(lái)給出這樣(zhyng)的波形以后:發(fā)現(xiàn)原來(lái)此時(shí)給出的第二個(gè)時(shí)鐘上升沿才是有效(yuxio)信號(hào)。Bug解決Debug2:當(dāng)連續(xù)四脈沖發(fā)生(fshng)單元還還只處于雛形的時(shí)候,控制脈沖有效只用了一個(gè)D觸發(fā)器,如圖:那么結(jié)果很明顯,與我想看到的不同(b tn):(第四個(gè)脈沖被“吃掉了”)于是采用以下設(shè)計(jì),實(shí)現(xiàn)

25、上升沿下降(xijing)沿同時(shí)觸發(fā)反饋:所以(suy):D = Q2, C0(使能信號(hào)) = Q1Q2Bug解決(jiju):Debug3:未加反相器 變?yōu)橄陆笛赜|發(fā)(chf) 使波形與預(yù)期不符 Bug解決(jiju)Debug4:An Bn 的JK端連反導(dǎo)致(dozh)倒計(jì)時(shí)變?yōu)?.3.1 而不是(b shi)3.2.1Bug解決(jiju)3.2 中央控制模塊 By 周擎陽(yáng)Bug1: 第一次設(shè)計(jì)的時(shí)候沒(méi)有特別熟悉的特性,按照了語(yǔ)言習(xí)慣進(jìn)行了編程,結(jié)果自然是錯(cuò)誤的:產(chǎn)生這個(gè)錯(cuò)誤的原因是下意識(shí)用了語(yǔ)言的語(yǔ)法,對(duì)不夠熟悉改正語(yǔ)法錯(cuò)誤即可Bug2: 在以前編程中用慣了語(yǔ)句,忘記了語(yǔ)句在中只能用于

26、中,于是寫出了如下代碼:這也是語(yǔ)言(yyn)下意識(shí)帶出來(lái)的習(xí)慣,編譯自然通不過(guò)在查閱資料后解決Bug3:初次編程,信號(hào)(xnho)和變量沒(méi)有分清,:與= 符號(hào)混淆所以寫出了如下代碼: 其中(qzhng)direction是信號(hào),應(yīng)該用號(hào),所以出現(xiàn)了以下錯(cuò)誤:改正符號(hào)即可運(yùn)行Bug4:雖然得到了糾正,但我依然(yrn)不知道錯(cuò)誤的原因,錯(cuò)誤如圖:隨后我進(jìn)行了查詢(chxn),但是并沒(méi)有找到有關(guān)這個(gè)錯(cuò)誤的具體信息有關(guān)代碼(di m)如下:當(dāng)我刪除elsif 中的后,代碼可以運(yùn)行,錯(cuò)誤消除但是深層次原因還帶探究3.3 計(jì)數(shù)模塊By 王崢Debug1:最開(kāi)始本人自行利用D觸發(fā)器設(shè)計(jì)了11進(jìn)制加法計(jì)數(shù)器

27、,但是在實(shí)現(xiàn)計(jì)數(shù)清零單元時(shí),發(fā)現(xiàn)如果利用觸發(fā)器自動(dòng)清零,那么在最后不會(huì)出現(xiàn)1011信號(hào),若利用0000信號(hào)清零,那么會(huì)從頭到尾不會(huì)出現(xiàn)信號(hào)變化,如圖所示:于是本人重新設(shè)計(jì)了計(jì)數(shù)器的部分,利用異步清零,使信號(hào)1011短暫出現(xiàn),這樣就為清零提供了不會(huì)與自身計(jì)數(shù)信號(hào)混淆的信號(hào)于是出現(xiàn)如上的信號(hào)波段Debug2:在設(shè)計(jì)之初,為各模塊接口之間的簡(jiǎn)便,曾試圖只利用AB勝負(fù)關(guān)系的信號(hào)01/10,而時(shí)鐘端則有計(jì)數(shù)電路自己制造,但是經(jīng)過(guò)簡(jiǎn)單實(shí)驗(yàn)發(fā)現(xiàn),由于單回合的進(jìn)行時(shí)間不確定,單回合時(shí)鐘需要有延時(shí)功能,這樣大大復(fù)雜化了電路,同時(shí)是問(wèn)題變得更難,于是利用上一模塊的時(shí)鐘,通過(guò)簡(jiǎn)單的與運(yùn)算創(chuàng)造出了表示AB勝負(fù)關(guān)系的時(shí)

28、鐘端,如圖所示:Debug3:由于各元件之間觸發(fā)需求(xqi)不同,各信號(hào)的處理存在差異,如清零信號(hào)需要0觸發(fā),而時(shí)鐘端又存在上升沿和下降沿之分,于是在忽略這一問(wèn)題的初始階段,波形圖存在明顯的較大延時(shí)而經(jīng)過(guò)非門的運(yùn)用(ynyng),延時(shí)被明顯縮短 4 設(shè)計(jì)(shj)結(jié)論本系統(tǒng)外接輸入共三個(gè),start:外接游戲開(kāi)關(guān)A:A玩家揮拍信號(hào)B:B玩家揮拍信號(hào)本系統(tǒng)共四組顯像單元:倒計(jì)時(shí)七段數(shù)碼管球臺(tái)LED燈顯示局比分計(jì)數(shù)LED總比分計(jì)數(shù)LED本系統(tǒng)共2組內(nèi)部時(shí)鐘輸入:cond_counter:秒鐘計(jì)時(shí)端,用于倒計(jì)時(shí)顯像單元。Ps:因秒鐘單位太大,在模擬中給出周期為4ns。clk:球移動(dòng)計(jì)時(shí)端,該時(shí)鐘用

29、于控制“球”移動(dòng)的速度實(shí)現(xiàn)功能:略(詳見(jiàn) 1.2設(shè)計(jì)要求,功能已基本實(shí)現(xiàn)。注:未實(shí)現(xiàn)顯示發(fā)球次數(shù)功能,因?yàn)槊堪l(fā)球五次自動(dòng)換發(fā)球,故顯示此數(shù)據(jù)無(wú)意義)設(shè)計(jì)(shj)心得與總結(jié)孫一鈾:從最開(kāi)始的拿到題目(tm)毫無(wú)頭緒,到一步步畫出最終硬件圖與波形圖,組員們都付出了很多。首先從最開(kāi)始的模塊(m kui)架構(gòu)設(shè)計(jì)說(shuō)起,由于大作業(yè)不是由個(gè)人完成的,而是處于一種團(tuán)隊(duì)合作的模式中,處于這種模式中,分工首先是必須明確的。對(duì)于整個(gè)系統(tǒng)的架構(gòu),我想過(guò)好多種方式來(lái)實(shí)現(xiàn),最初設(shè)計(jì)的架構(gòu)圖,各模塊之間耦合性太強(qiáng),導(dǎo)致很容易出現(xiàn)你不小心把我的模塊中的一個(gè)小功能實(shí)現(xiàn)了,由于人與人之間思維的方式不同,你實(shí)現(xiàn)的方式不符合我模

30、塊的需求,會(huì)產(chǎn)生沖突。經(jīng)過(guò)好幾輪的修改,最終形成了三大模塊分立的形式,模塊之間信息的傳遞都是以異步時(shí)鐘的方式來(lái)實(shí)現(xiàn)的。由于我參與了總架構(gòu)的設(shè)計(jì)整合與報(bào)告的撰寫,所以我分給自己的模塊相對(duì)較為簡(jiǎn)單,大體上就是一個(gè)一個(gè)倒計(jì)時(shí)與換發(fā)球的功能,但真正著手以后發(fā)現(xiàn),其實(shí)難度比想象中的大很多,因?yàn)槊看蔚褂?jì)時(shí)結(jié)束以后必須將數(shù)據(jù)清0,重新計(jì)數(shù),而外界能給你的信號(hào)只有一個(gè)回合開(kāi)始信號(hào),我需要把這一個(gè)信號(hào)作為74194的Ma脈沖信號(hào),還得控制脈沖的延時(shí),作為部分單元的初始化信號(hào)等等。最終能夠完成,還是感覺(jué)很不容易。最終的整合過(guò)程,因?yàn)槟K之間耦合性不強(qiáng),分工也十分明確,相對(duì)還是比較順利的。但是在關(guān)于數(shù)據(jù)的接口上依然出了點(diǎn)問(wèn)題,還有數(shù)據(jù)傳遞中的延時(shí)造成的問(wèn)

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論