最佳接收機(jī)的仿真和實(shí)現(xiàn)要點(diǎn)(共26頁(yè))_第1頁(yè)
最佳接收機(jī)的仿真和實(shí)現(xiàn)要點(diǎn)(共26頁(yè))_第2頁(yè)
最佳接收機(jī)的仿真和實(shí)現(xiàn)要點(diǎn)(共26頁(yè))_第3頁(yè)
最佳接收機(jī)的仿真和實(shí)現(xiàn)要點(diǎn)(共26頁(yè))_第4頁(yè)
最佳接收機(jī)的仿真和實(shí)現(xiàn)要點(diǎn)(共26頁(yè))_第5頁(yè)
已閱讀5頁(yè),還剩21頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、精選優(yōu)質(zhì)文檔-傾情為你奉上最佳接收機(jī)的仿真和實(shí)現(xiàn)摘要:本文根據(jù)匹配濾波器的性能設(shè)計(jì)了由匹配濾波器構(gòu)成的最佳接收機(jī),并完成了匹配濾波器的matlab仿真和VHDL仿真。本文主要以匹配濾波器的知識(shí)為基礎(chǔ),提高信號(hào)的信噪比,以實(shí)現(xiàn)二元數(shù)字信號(hào)的最佳接收。首先介紹了匹配濾波器的只是為基礎(chǔ)理論:傳輸特性,沖激響應(yīng),輸出波形。在此基礎(chǔ)上研究了由匹配濾波器構(gòu)成的最佳接收機(jī),并且通過(guò)matlab仿真和VHDL仿真驗(yàn)證了該模型的正確性。關(guān)鍵詞:匹配濾波器;最佳接收機(jī);仿真目錄4專心-專注-專業(yè)第一章 緒論1.1背景介紹隨著無(wú)線數(shù)字化發(fā)展,數(shù)字通信已經(jīng)走入我們的生活。而數(shù)字通信中必然會(huì)涉及到的有接收機(jī),其中最佳接

2、收機(jī)是主流,而基于匹配濾波器的最佳接收機(jī)也便是其中之一。最佳接收機(jī)的匹配濾波器廣泛用于雷達(dá)、聲納和通信。其作用是:一、提高信噪比。毫不夸張的說(shuō),任何電子系統(tǒng)都有匹配濾波或近似匹配濾波的環(huán)節(jié),目的是提高信噪比。二、對(duì)于大時(shí)間帶寬積信號(hào),匹配濾波等效于脈沖壓縮。因此可以提高雷達(dá)或聲納的距離分辨率和距離測(cè)量精度。在擴(kuò)頻通信中,可以實(shí)現(xiàn)解擴(kuò)。最佳接收機(jī)是通信系統(tǒng)中最復(fù)雜的模塊之一,只有概念明確、思路清晰,才能完成相應(yīng)的工程實(shí)現(xiàn)。熟悉最佳接收機(jī)理論,掌握最佳接收機(jī)的實(shí)現(xiàn),并能夠使用該理論來(lái)分析和解決工程中的實(shí)際問(wèn)題是通信工程師必須掌握的基本功。 1.2 課程設(shè)計(jì)的目的和任務(wù) 通信原理課程設(shè)計(jì)是系統(tǒng)性的設(shè)

3、計(jì)和實(shí)現(xiàn)。通過(guò)課程設(shè)計(jì)將學(xué)生從理論學(xué)習(xí)的軌道逐步引向?qū)嶋H應(yīng)用,把理論上熟悉的定性分析、定量計(jì)算逐步和工程估算、實(shí)驗(yàn)調(diào)整等手段結(jié)合起來(lái),掌握工程設(shè)計(jì)的步驟和方法,了解科學(xué)實(shí)驗(yàn)的程序和實(shí)施方法,為以后畢業(yè)設(shè)計(jì)和從事通信系統(tǒng)的實(shí)際工作打下基礎(chǔ)。 本課程設(shè)計(jì)就是要求學(xué)生完成最佳接收機(jī)的仿真與實(shí)現(xiàn)。學(xué)生需在分析匹配濾波器的原理的基礎(chǔ)上,給出相應(yīng)的軟件實(shí)現(xiàn)和仿真結(jié)果,并對(duì)結(jié)果進(jìn)行分析,得出相應(yīng)結(jié)論,同時(shí)應(yīng)獨(dú)立撰寫完整的課程設(shè)計(jì)報(bào)告。 第2章 基于匹配濾波器的最佳接受原理2.1匹配濾波器的概述 在白噪聲干擾下,如果線性濾波器的輸出端在某一時(shí)刻上使信號(hào)的瞬時(shí)功率與白噪聲平均功率之比達(dá)到最大,就可以使判決電路錯(cuò)

4、誤判決的概率最小。這樣的線性濾波器稱為疲憊濾波器。所以,匹配濾波器是最大輸出信噪比意義下的最佳線性濾波器。用匹配濾波器構(gòu)成的接收機(jī)是滿足最大輸出信噪比準(zhǔn)則的最佳接收機(jī),也稱為匹配濾波器接收機(jī)。 2.2匹配濾波器接收機(jī)原理對(duì)于二進(jìn)制數(shù)字信號(hào),根據(jù)它們的時(shí)域表達(dá)式及波形可以直接得到相應(yīng)的解調(diào)方法。在加性白高斯噪聲的干擾下,這些解調(diào)方法是否是最佳的,這是我們要討論的問(wèn)題。數(shù)字傳輸系統(tǒng)的傳輸對(duì)象是二進(jìn)制信息。分析數(shù)字信號(hào)的接收過(guò)程可知,在接收端對(duì)波形的檢測(cè)并不重要,重要的是在背景噪聲下正確的判斷所攜帶的信息是哪一種。因此,最有利于作出正確判斷的接收一定是最佳接收。從最佳接收的意義上來(lái)說(shuō),一個(gè)數(shù)字通信系

5、統(tǒng)的接收設(shè)備可以看作一個(gè)判決裝置,該裝置由一個(gè)線性濾波器和一個(gè)判決電路構(gòu)成,如圖1所示。線性濾波器對(duì)接收信號(hào)進(jìn)行相應(yīng)的處理,輸出某個(gè)物理量提供給判決電路,以便判決電路對(duì)接收信號(hào)中所包含的發(fā)送信息作出盡可能正確的判決,或者說(shuō)作出錯(cuò)誤盡可能小的判決。收信號(hào) 線性濾波器判決電路數(shù)字信息 圖2.2-1 簡(jiǎn)化的接收設(shè)備假設(shè)有這樣一種濾波器,當(dāng)不為零的信號(hào)通過(guò)它時(shí),濾波器的輸出能在某瞬間形成信號(hào)的峰值,而同時(shí)噪聲受到抑制,也就是能在某瞬間得到最大的峰值信號(hào)功率與平均噪聲功率之比。在相應(yīng)的時(shí)刻去判決這種濾波器的輸出,一定能得到最小的差錯(cuò)率。匹配濾波器是一種在最大化信號(hào)的同時(shí)使噪聲的影響最小的線性濾波器設(shè)計(jì)技

6、術(shù)。注意:該濾波器并不保持輸入信號(hào)波形,其目的在于使輸入信號(hào)波形失真并濾除噪聲,使得在采樣時(shí)刻輸出信號(hào)值相對(duì)于均方根(輸出)噪聲值達(dá)到最大。2.2.1.一般情況下的匹配濾波器匹配濾波器圖2.2-2 匹配濾器s(t): 匹配濾波器輸入信號(hào);n(t): 匹配濾波器輸入噪聲;s0(t):匹配濾波器輸出信號(hào);n0(t):匹配濾波器輸出噪聲;h(t)或H(f):匹配濾波器。匹配濾波器的目的就是使下式取最大值: (2-1)使上式取最大值的轉(zhuǎn)移函數(shù)為: (2-2)式中是已知的時(shí)寬為T秒的輸入信號(hào)的傅立葉變換,是輸入噪聲的功率譜密度PSD。K是一個(gè)任意非0實(shí)常數(shù)。是計(jì)算時(shí)的采樣時(shí)間。詳細(xì)推導(dǎo)公式見(jiàn)參考教材。2

7、.2.2.白噪聲條件下的結(jié)果在白噪聲條件下,匹配濾波器可簡(jiǎn)化描述如下:對(duì)白噪聲,上式變?yōu)椋?(2-3) 特別是對(duì)輸入的實(shí)信號(hào)波形時(shí),有: (2-4)上式表明匹配濾波器(白噪聲情況下)的沖激響應(yīng)就是已知輸入信號(hào)波形反轉(zhuǎn)并平移了,見(jiàn)圖3,因此稱濾波器與信號(hào)“匹配” 。圖3所示的匹配濾波器波形,也稱積分清除(匹配)濾波器。假定輸入信號(hào)為矩形脈沖,如圖2.2-3(a)所示。 圖2.2-3 與匹配濾波器有關(guān)的波形 (5)圖2.2-3 匹配濾波器波形信號(hào)時(shí)寬為 。對(duì)白噪聲情況,匹配濾波器的沖激響應(yīng)為: (2-5)為方便起見(jiàn),令為1,如圖2.2-3(b)所示。由該圖可見(jiàn),要使沖激響應(yīng)可實(shí)現(xiàn),要求: 這里采用

8、,是因?yàn)檫@是滿足可實(shí)現(xiàn)條件的最小值。要使濾波器輸出最大信號(hào)值前的等待時(shí)間(即)最小化。圖2.2-3(c)示出了時(shí)的,如圖2.2-3(d)示出了輸出信號(hào),注意輸出信號(hào)的峰值出現(xiàn)在。為了使峰值出現(xiàn)在,輸入信號(hào)經(jīng)濾波后將會(huì)有失真。在比特波形為矩形的數(shù)字信號(hào)傳輸技術(shù)中,該匹配濾波器相當(dāng)于積分清除濾波器。假設(shè)輸入信號(hào)為矩形波形,在輸出信號(hào)值最大時(shí)對(duì)其進(jìn)行抽樣。則處的濾波器的輸出為: (2-6)將圖3(c)所示匹配濾波器沖激響應(yīng)帶入上式,等式變?yōu)椋?(2-7)因此,需要將輸入信號(hào)加噪聲在一個(gè)符號(hào)區(qū)間T(對(duì)二進(jìn)制信號(hào)傳輸是比特區(qū)間)上積分,然后在符號(hào)區(qū)間末將積分輸出“清空”。這種方法示于圖4(二進(jìn)制信號(hào))。

9、注意,為了使最優(yōu)濾波器工作正常,需要一個(gè)外部時(shí)鐘信號(hào),稱為比特同步。而且,由于輸出采樣值仍被噪聲干擾(盡管匹配濾波器已使噪聲達(dá)到最?。敵鲂盘?hào)不是二進(jìn)制的。將輸出送入比較器,可將其轉(zhuǎn)換為二進(jìn)制信號(hào)。2.2.3 匹配濾波的最佳接收機(jī)根據(jù)匹配濾波器原理可做出匹配濾波器的最佳接收機(jī)。最佳接收機(jī)框圖如下:相乘抽樣判決積分 S1(t)t=Tt=TS0(t)比較抽樣判決積分相乘x(t)圖2.2-4 最佳接收機(jī)框圖其中判決公式如下: 第三章 MATLAB及SIMULINK環(huán)境簡(jiǎn)介及仿真設(shè)計(jì)3.1 MATLAB及SIMULINK環(huán)境簡(jiǎn)介 MATLAB 是美國(guó)MathWorks公司出品的商業(yè)數(shù)學(xué)軟件,用于算法

10、開發(fā)、數(shù)據(jù)可視化、數(shù)據(jù)分析以及數(shù)值計(jì)算的高級(jí)技術(shù)計(jì)算語(yǔ)言和交互式環(huán)境,主要包括MATLAB和SIMULINK兩大部分。Simulink是MATLAB最重要的組件之一,它提供一個(gè)動(dòng)態(tài)系統(tǒng)建模、仿真和綜合分析的集成環(huán)境。在該環(huán)境中,無(wú)需大量書寫程序,而只需要通過(guò)簡(jiǎn)單直觀的鼠標(biāo)操作,就可構(gòu)造出復(fù)雜的系統(tǒng)。Simulink具有適應(yīng)面廣、結(jié)構(gòu)和流程清晰及仿真精細(xì)、貼近實(shí)際、效率高、靈活等優(yōu)點(diǎn),并基于以上優(yōu)點(diǎn)Simulink已被廣泛應(yīng)用于控制理論和數(shù)字信號(hào)處理的復(fù)雜仿真和設(shè)計(jì)。同時(shí)有大量的第三方軟件和硬件可應(yīng)用于或被要求應(yīng)用于Simulink。 SIMULINK是MATLAB中的一種可視化仿真工具, 是一

11、種基于MATLAB的框圖設(shè)計(jì)環(huán)境,是實(shí)現(xiàn)動(dòng)態(tài)系統(tǒng)建模、仿真和分析的一個(gè)軟件包,被廣泛應(yīng)用于線性系統(tǒng)、非線性系統(tǒng)、數(shù)字控制及數(shù)字信號(hào)處理的建模和仿真中。Simulink可以用連續(xù)采樣時(shí)間、離散采樣時(shí)間或兩種混合的采樣時(shí)間進(jìn)行建模,它也支持多速率系統(tǒng),也就是系統(tǒng)中的不同部分具有不同的采樣速率。為了創(chuàng)建動(dòng)態(tài)系統(tǒng)模型,Simulink提供了一個(gè)建立模型方塊圖的圖形用戶接口(GUI) ,這個(gè)創(chuàng)建過(guò)程只需單擊和拖動(dòng)鼠標(biāo)操作就能完成,它提供了一種更快捷、直接明了的方式,而且用戶可以立即看到系統(tǒng)的仿真結(jié)果。3.2 最佳接收機(jī)仿真設(shè)計(jì) 整個(gè)仿真可由隨機(jī)二進(jìn)制數(shù)據(jù)產(chǎn)生器、加性高斯白噪聲信道、匹配濾波及采樣判決器、

12、直接采樣判決器、示波器、比特誤碼計(jì)數(shù)器等六類模塊構(gòu)成。 二進(jìn)制數(shù)據(jù)產(chǎn)生器根據(jù)設(shè)置參數(shù),產(chǎn)生一系列二進(jìn)制隨機(jī)數(shù)據(jù),一路送入加性高斯白噪聲信道,另一路送入采樣器,作為參考的數(shù)據(jù);同時(shí)輸出兩個(gè)時(shí)鐘信號(hào),一個(gè)用于采樣判決,另一個(gè)用于數(shù)據(jù)同步。 加性高斯白噪聲信道對(duì)輸入的數(shù)據(jù)混疊依據(jù)參數(shù)設(shè)置的噪聲后一路送入匹配濾波及采樣判決器,第二路送入直接采樣判決器,第3路送入示波器供觀察。 匹配濾波及采樣判決器,根據(jù)匹配濾波器原理,對(duì)輸入信號(hào)進(jìn)行匹配濾波,濾波后的模擬數(shù)據(jù)一路送入示波器顯示觀察,第二路送入判決器進(jìn)行采樣判決,以重新恢復(fù)出原始的發(fā)送端的二進(jìn)制數(shù)據(jù)信號(hào),并將該判決數(shù)據(jù)輸出給比特誤碼計(jì)數(shù)器。 直接采樣判決

13、器,直接對(duì)接受到的有噪聲信號(hào)進(jìn)行判決,根據(jù)判決結(jié)果,恢復(fù)出原始端發(fā)送的二進(jìn)制數(shù)據(jù)。該數(shù)據(jù)也送入到另外一個(gè)比特誤碼計(jì)數(shù)器中。3.3 simulink 設(shè)計(jì)圖 本實(shí)驗(yàn)是運(yùn)用MATLAB軟件的集成開發(fā)工具SIMULIK搭建了一個(gè)匹配濾波器軟件仿真實(shí)驗(yàn)系統(tǒng),來(lái)實(shí)現(xiàn)數(shù)字信號(hào)在經(jīng)過(guò)高斯白噪聲污染后最佳的恢復(fù)。實(shí)驗(yàn)者可以在系統(tǒng)上進(jìn)行不同參數(shù)的設(shè)置或更改,了解高斯白噪聲對(duì)接收機(jī)的影響,了解最佳接收的過(guò)程。系統(tǒng)框圖如圖3.3-1所示。圖3.3-1 匹配濾波器仿真框圖3.4 匹配濾波器的matlab仿真當(dāng)運(yùn)行主函數(shù)時(shí),在MATLAB命令窗口中參數(shù)設(shè)置如下:x=1 0 1 0A=8Tb=1600N= 4096其中x

14、序列表示輸入二進(jìn)制信號(hào),A表示輸入信號(hào)的幅度,Tb表示輸入信號(hào)的周期,N表示對(duì)具有高斯干擾噪聲的信號(hào)進(jìn)行FFT的點(diǎn)數(shù)。他們均是通過(guò)命令窗口輸入的,并且x序列中的每一個(gè)二進(jìn)制數(shù)字分別按順序?qū)?yīng)如圖3.4-1所示。(a)加高斯白噪聲的輸入為1圖形及r0(實(shí)線)和r1(虛線)輸出(b)加高斯白噪聲的輸入為0圖形及r0(實(shí)線)和r1(虛線)輸出(c)加高斯白噪聲的輸入為1圖形及r0(實(shí)線)和r1(虛線)輸出(d)加高斯白噪聲的輸入為0圖形及r0(實(shí)線)和r1(虛線)輸出圖3.4-1 四位二進(jìn)制經(jīng)過(guò)匹配濾波前以及匹配濾波之后的圖形仿真分析:圖3.4-1的四幅圖分別呈現(xiàn)了每一位二進(jìn)制輸入后,接受經(jīng)過(guò)匹配處

15、理之后的輸出情況。我們可以看出,在信號(hào)結(jié)束時(shí)刻對(duì)其進(jìn)行抽樣比較,能夠很好的做出判決。這個(gè)結(jié)論從圖2-4中也可以看到的。由此我們很好的仿真了匹配濾波器在接收機(jī)判定之前所起到的作用?,F(xiàn)在來(lái)觀察匹配前后的信號(hào)的對(duì)比。圖3.4-1給出的是信號(hào)匹配前后的波型。輸入信號(hào)為L(zhǎng)FM信號(hào),其起始頻率為310MHz,終止頻率為320 MHz。持續(xù)時(shí)間為3s,可以從圖形中上面的曲線看出是匹配前輸出信號(hào)的輸出結(jié)果幅度僅達(dá)到0.6,而下面的曲線為匹配濾波后信號(hào)的輸出結(jié)果其最大幅度達(dá)到了6,所以經(jīng)過(guò)匹配濾波之后的信號(hào)更加容易與噪聲區(qū)分開來(lái),可見(jiàn)經(jīng)過(guò)匹配濾波之后在高斯白噪聲信道中傳輸?shù)男盘?hào)的信噪比有明顯提高。第4章 VHD

16、L 語(yǔ)言實(shí)現(xiàn)最佳接收機(jī)4.1 VHDL簡(jiǎn)介VHDL 的英文全名是 Very-High-Speed Integrated Circuit Hardware Description Language,誕生于 1982 年。1987 年底,VHDL被 IEEE 和美國(guó)國(guó)防部確認(rèn)為標(biāo)準(zhǔn)硬件描述語(yǔ)言。VHDL主要用于描述數(shù)字系統(tǒng)的結(jié)構(gòu),行為,功能和接口。除了含有許多具有硬件特征的語(yǔ)句外,VHDL的語(yǔ)言形式和描述風(fēng)格與句法是十分類似于一般的計(jì)算機(jī)高級(jí)語(yǔ)言。VHDL的程序結(jié)構(gòu)特點(diǎn)是將一項(xiàng)工程設(shè)計(jì),或稱設(shè)計(jì)實(shí)體(可以是一個(gè)元件,一個(gè)電路模塊或一個(gè)系統(tǒng))分成外部(或稱可視部分,及端口)和內(nèi)部(或稱不可視部分),

17、既涉及實(shí)體的內(nèi)部功能和算法完成部分。在對(duì)一個(gè)設(shè)計(jì)實(shí)體定義了外部界面后,一旦其內(nèi)部開發(fā)完成后,其他的設(shè)計(jì)就可以直接調(diào)用這個(gè)實(shí)體。這種將設(shè)計(jì)實(shí)體分成內(nèi)外部分的概念是VHDL系統(tǒng)設(shè)計(jì)的基本點(diǎn)。 4.2 QPSK匹配濾波器的VHDL設(shè)計(jì) 4.2.1 正交相移鍵控(QPSK)四相相移鍵控(QPSK)是一種性能優(yōu)良,應(yīng)用十分廣泛的數(shù)字調(diào)制方式,它的頻帶利用率高,是二相相移鍵控(BPSK)的2倍。且QPSK調(diào)制技術(shù)抗干擾能力強(qiáng),采用相干檢測(cè)時(shí)其誤碼率性能與BPSK相同。本文用VHDL軟件對(duì)QPSK通信系統(tǒng)的發(fā)射和接收過(guò)程的具體實(shí)現(xiàn)進(jìn)行模擬仿真,并對(duì)各個(gè)模塊進(jìn)行頻譜分析。四相相移鍵控(QPSK)是利用載波的四

18、種不同相位差來(lái)表征輸入的數(shù)字信息,是4進(jìn)制相移鍵控。QPSK是M=4的調(diào)相技術(shù),它規(guī)定了四種載波相位,分別是450,1350,2250,2750,調(diào)制器輸入的序列是二進(jìn)制數(shù)字序列,為了能和四進(jìn)制的相位配合起來(lái),則需要把二進(jìn)制數(shù)據(jù)變換成四進(jìn)制數(shù)據(jù),就是說(shuō)需要把二進(jìn)制數(shù)字序列中的每?jī)蓚€(gè)比特分成一組,共有四種組合,即00,01,10,11,其中每一組成為雙比特碼元。每一個(gè)雙比特碼元是由兩位二進(jìn)制信息比特組成,它們分別代表四進(jìn)制四個(gè)符號(hào)中的一個(gè)符號(hào)。QPSK中每次調(diào)制可傳輸兩個(gè)信息比特,這些信息比特是通過(guò)載波的四種相位傳遞的。解調(diào)器根據(jù)星座圖及接收到的載波信號(hào)的相位來(lái)判斷發(fā)送端發(fā)送的信息比特。4.2.

19、3 QPSK匹配濾波器的仿真QPSK匹配濾波器VHDL設(shè)計(jì)能比較直接地闡釋匹配濾波器的功能,在整個(gè)過(guò)程中,基于所學(xué)的EDA程序編程知識(shí)與通信匹配濾波器的理論知識(shí),充分利用了VHDL程序語(yǔ)言的特點(diǎn),憑此得以完成 設(shè)計(jì),最后得到程序流程圖如圖4.2-1所示。圖4.2-1 VHDL程序設(shè)計(jì)基本流程對(duì)QPSK匹配濾波進(jìn)行仿真時(shí),QPSK信號(hào)的波形主要有四種,而匹配濾波器儲(chǔ)存的是其對(duì)稱移位的信號(hào)。下表為QPSK匹配濾波器程序仿真涉及的輸入信號(hào)、載波編碼及輸出的匹配波形。表4-1 QPSK信號(hào)與匹配波形輸入信號(hào)(編號(hào))載波波形(編碼)匹配波形00(0)(1100)01(1)(1001)10(2)(0011

20、)11(3)(0110)波形分析:在Max+plus2軟件上運(yùn)行程序得到如圖4.2-2所示的波形。圖4.2-2 仿真波形圖從上圖可以看出,當(dāng)接收到“1100”的波形時(shí),接收機(jī)輸出了對(duì)應(yīng)的波形編號(hào)0;當(dāng)接收到“1001”、“0011”、“0110”的波形時(shí),接收機(jī)輸出了相應(yīng)的編號(hào)1、2、3,只是仿真時(shí)間有一定延遲。可見(jiàn),匹配濾波器根據(jù)載波編碼的不同進(jìn)行了不同的波形匹配,并在輸出端輸出了對(duì)應(yīng)的輸入波形編碼。這種現(xiàn)象從另一個(gè)角度上說(shuō)明了匹配濾波器的功能。第5章 結(jié)論和心得課程設(shè)計(jì)是培養(yǎng)學(xué)生綜合運(yùn)用所學(xué)知識(shí),發(fā)現(xiàn),提出,分析和解決實(shí)際問(wèn)題,鍛煉實(shí)踐能力的重要環(huán)節(jié),是對(duì)學(xué)生實(shí)際工作能力的具體訓(xùn)練和考察過(guò)

21、程。這次的課程設(shè)計(jì),我們對(duì)通信系統(tǒng)有了更進(jìn)一步的認(rèn)識(shí)。設(shè)計(jì)過(guò)程中,參數(shù)的設(shè)置也是很重要的,如果一個(gè)參數(shù)設(shè)置出錯(cuò),就可能導(dǎo)致接收信號(hào)的錯(cuò)誤。另一方面,我們領(lǐng)教了MATLAB矩陣實(shí)驗(yàn)室強(qiáng)大的功能和實(shí)力。通過(guò)在SIMULINK環(huán)境下對(duì)系統(tǒng)進(jìn)行模塊化設(shè)計(jì)與仿真,使我們獲得兩方面具體經(jīng)驗(yàn),第一是MATLAB中SIMULINK功能模塊的使用方法,第二是圖形化和結(jié)構(gòu)化的系統(tǒng)設(shè)計(jì)方法。這些經(jīng)驗(yàn)雖然并不高深,但是對(duì)于剛?cè)腴T的初學(xué)者來(lái)說(shuō),對(duì)以后步入專業(yè)領(lǐng)域進(jìn)行設(shè)計(jì)或研發(fā)無(wú)疑具有重大的意義。這次的課程設(shè)計(jì)從選題到定稿,從理論到實(shí)踐,在課程設(shè)計(jì)的日子里可以說(shuō)得是苦多于甜,但是可以學(xué)到很多很多的的東西,同時(shí)不僅可以鞏固

22、了以前所學(xué)過(guò)的知識(shí),而且學(xué)到了很多在書本上所沒(méi)有學(xué)到過(guò)的知識(shí),使我懂得了理論與實(shí)際相結(jié)合是很重要的,只有理論知識(shí)是遠(yuǎn)遠(yuǎn)不夠的,只有把所學(xué)的理論知識(shí)與實(shí)踐相結(jié)合起來(lái),從理論中得出結(jié)論,才能真正為社會(huì)服務(wù),從而提高自己的實(shí)際動(dòng)手能力和獨(dú)立思考的能力。在設(shè)計(jì)的過(guò)程中遇到問(wèn)題,可以說(shuō)得是困難重重,會(huì)遇到過(guò)各種各樣的問(wèn)題,同時(shí)在設(shè)計(jì)的過(guò)程中發(fā)現(xiàn)了自己的不足之處,對(duì)以前所學(xué)過(guò)的知識(shí)理解得不夠深刻,掌握得不夠牢固。 同時(shí),我明白了團(tuán)隊(duì)力量的偉大。如果遇到不懂的問(wèn)題的時(shí)候,一定要積極問(wèn)老師同學(xué),這樣問(wèn)題解決的時(shí)間比自己苦思冥想后仍然無(wú)疾而終的時(shí)間省很多,效率也很高。在這個(gè)試驗(yàn)過(guò)程中,耐心很重要。若果沒(méi)有耐心很

23、多事情和很多小細(xì)節(jié)的問(wèn)題都找不出來(lái)。同時(shí),這次設(shè)計(jì)讓我懂得了理論與實(shí)際相結(jié)合是很重要的,只有理論知識(shí)是遠(yuǎn)遠(yuǎn)不夠的,只有把所學(xué)的理論知識(shí)與實(shí)踐相結(jié)合起來(lái),從理論中得出結(jié)論,才能真正懂得那個(gè)知識(shí)。才能提高自己的實(shí)際動(dòng)手能力和獨(dú)立思考的能力。在設(shè)計(jì)的過(guò)程中遇到問(wèn)題,可以說(shuō)得是困難重重,這畢竟第一次做的,難免會(huì)遇到過(guò)各種各樣的問(wèn)題,同時(shí)在設(shè)計(jì)的過(guò)程中發(fā)現(xiàn)了自己的不足之處,對(duì)以前所學(xué)過(guò)的知識(shí)理解得不夠深刻,掌握得不夠牢固??傊ㄟ^(guò)此次課程設(shè)計(jì)讓我們受益匪淺。參考文獻(xiàn)1 潘松,黃繼業(yè) . EDA技術(shù)實(shí)驗(yàn)教程. 第二版. 北京:科學(xué)出版社,20082 閻石,數(shù)字電子技術(shù)基礎(chǔ). 第三版. 北京:高等教育出版

24、社,20073 羅建軍,楊琦. 精講多練. Matlab. 第二版.西安:西安交通大學(xué)出版社,20034 樊昌信,曹麗娜.通信原理.北京:國(guó)防工業(yè)出版社,20085 王曉丹,吳崇明.基于matlab的系統(tǒng)分析與設(shè)計(jì)圖像處理.西安:電子科技大學(xué)出版社,2000附錄1 matlab程序(1)主程序echo onN=31; 濾波器的長(zhǎng)度T=1; 碼元速率alpha=1/4; 滾降系數(shù)n=-(N-1)/2:(N-1)/2; _T序列長(zhǎng)度范圍%計(jì)算發(fā)送濾波器的脈沖響應(yīng)g_Tfor i=1:length(n) g_T(i)=0; for m=-(N-1)/2:(N-1)/2 g_T(i)=g_T(i)+s

25、qrt(xrcf(4*m/(N*T),alpha,T)*exp(j*2*pi*m*n(i)/N); endend 將g_T延時(shí)(N-1)/2個(gè)樣本得到期望線性相位發(fā)送濾波器的脈沖響應(yīng)n2=0:N-1;G_T,W=freqz(g_T,1); 計(jì)算發(fā)送濾波器的頻率響應(yīng)G_TmagG_T_in_dB=20*log10(abs(G_T)/max(abs(G_T); 幅度響應(yīng)的歸一化g_R=g_T; 接收濾波器的脈沖響應(yīng)與發(fā)送濾波器相同imp_resp_of_cascade=conv(g_R,g_T); 發(fā)送、接收濾波器級(jí)聯(lián)后的脈沖響應(yīng)echo off 以下為繪圖指令figure(1)stem(n2,g

26、_T);holdplot(0:0.01:(N-1),0,b);title(發(fā)送濾波器的脈沖響應(yīng))figure(2)plot(magG_T_in_dB);title(發(fā)送濾波器的頻率響應(yīng));figure(3)stem(0:(2*N-2),imp_resp_of_cascade);holdplot(0:0.01:(2*N-2),0,b);title(發(fā)送濾波器和接收濾波器級(jí)聯(lián)后的脈沖響應(yīng));(2)子程序function y=xrcf(f,alpha,T) 根據(jù)參數(shù)alpha和T估計(jì)Xrc(f)的表達(dá)式if(abs(f)(1-alpha)/(2*T)y=0;else if(abs(f)(1-alph

27、a)/(2*T) y=(T/2)*(1+cos(pi*T/alpha)*(abs(f)-(1-alpha)/(2*T); else y=T; endend(3)程序運(yùn)行結(jié)果附錄2 vhdl程序QPSK匹配濾波器VHDL程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity match_rec isport(clk:in std_logic; reset:in std_logic; x_in:in std_logic; y_out:out std_logic_vector(1 downto 0);end match_rec;architecture translated of match_rec issignal cnt:std_logic_vector(1 downto 0);signal cnt1:std_logic_vector(2 downto 0);signal cnt2:std_logic_vector(2 downto 0);signal cnt3:std_logic_vector(2 downt

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論