基于EDA的多路彩燈控制器設(shè)計_第1頁
基于EDA的多路彩燈控制器設(shè)計_第2頁
基于EDA的多路彩燈控制器設(shè)計_第3頁
基于EDA的多路彩燈控制器設(shè)計_第4頁
基于EDA的多路彩燈控制器設(shè)計_第5頁
已閱讀5頁,還剩35頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、本科畢業(yè)論文(設(shè)計)論文(設(shè)計)題目:基于EDA的多路彩燈控制器設(shè)計學 院: 明德學院 專 業(yè): 電子信息工程班 級: 電信081 學 號: 1學生姓名: 王天龍指導(dǎo)教師: 吳銳 2012年 4 月 19日多路彩燈控制器的設(shè)計 摘 要 彩燈在當今社會不僅作為一種裝飾,已經(jīng)越來越隨處可見。城市馬路、大街小巷、商業(yè)店鋪各種彩燈讓人有些“亂花漸欲迷人眼”的感覺,各種花樣百出。 設(shè)計彩燈控制器,可以控制彩燈閃爍的頻率,花樣,如何閃爍。各種公交車,銀行門口上的紅色字體都是多路彩燈控制器控制的LED管顯像,這不僅僅可以使得彩燈更有可觀賞性,更是為商業(yè)店鋪增加吸引人的眼球,所以許多店鋪,商家都找人為他們設(shè)計

2、彩燈控制器,使得他們的店面門口的彩燈出現(xiàn)各種不同,能讓人們眼前一亮的彩燈閃爍來吸引顧客以及路過的人,提高它們的商業(yè)價值。EDA技術(shù)的應(yīng)用可以減少了設(shè)計芯片的數(shù)量、使其縮小了體積、降低了功耗、提高了設(shè)計的靈活性、可靠性和可擴展性。在MAX+PLUSII軟件使用環(huán)境下采用VHDL語言實現(xiàn),論述 了基于VHDL語言和CPLD芯片的數(shù)字系統(tǒng)設(shè)計思想和實現(xiàn)過程。電子設(shè)計自動化技術(shù)EDA的發(fā)展給電子系統(tǒng)的設(shè)計帶來了革命性的變化,EDA軟件設(shè)計工具,硬件描述語言,可編程邏輯器件(PLD)使得EDA技術(shù)的應(yīng)用走向普及。CPLD是新型的可編程邏輯器件,采用CPLD進行產(chǎn)品開發(fā)可以靈活地進行模塊配置,大大縮短了產(chǎn)

3、品開發(fā)周期,也有利于產(chǎn)品向小型化,集成化的方向發(fā)展。而VHDL語言是EDA的關(guān)鍵技術(shù)之一,它采用自頂向下的設(shè)計方法,完成系統(tǒng)的整體設(shè)計關(guān) 鍵 詞 彩燈; VHDL; 仿真;下載目 錄摘 要AbstractII1 緒 論21.1 課題背景21.2EDA的概念31.3EDA技術(shù)的基本特征41.4 MAX+plus 簡介1.5 Quartus簡介1.6 發(fā)光二極管簡介1.7LED材料先進性研究1.8LED工業(yè)級參數(shù)研究1.91 LED發(fā)光原理1.92LED價格比較與材料的選取2多路彩燈的設(shè)計方案42.1總體思路設(shè)計方案52.2總體設(shè)計思路52.3 方案的選擇72.3.1總體思路方案的選擇72.3.2

4、總體實現(xiàn)方案的選擇72.4 本章小結(jié)83多路彩燈控制器的設(shè)計過程93.1思路的設(shè)計93.1.1 快慢變化電路模塊的設(shè)計93.1.2 花型控制及演示電路模塊的設(shè)計103.1.3 整體電路的設(shè)計123.2 本章小結(jié)144結(jié)論16致 謝21參考文獻221.1,課題背景進入21世紀,隨著微電子技術(shù)和計算機技術(shù)的迅速發(fā)展,人類進入了一個全新的信息時代。以數(shù)字化為特征的電子信息產(chǎn)品,諸如PC,PDA,DC,DV,DTV,Web瀏覽器、手機等等,成為現(xiàn)代社會不可或缺的一部分。電子信息產(chǎn)品功能不斷擴展、性能不斷提高,更新?lián)Q代的步伐越來越快,而同時其價格卻一 直呈下降趨勢。實現(xiàn)這種進步的主要因素是集成電路制造技

5、術(shù)和電子設(shè)計技術(shù)的發(fā)展。前者以微細加工技術(shù)為代表,目前已進展到深亞微米和超深亞微米階段,主流生產(chǎn)工藝技術(shù)為013025m,可以在幾平方厘米的芯片上集成數(shù)千萬個晶體管。后者的核心就是EDA(電子設(shè)計自動化)技術(shù),EDA是指以計算機為工作平臺,融合應(yīng)用電子技術(shù)、計算機技術(shù)、智能化技術(shù),并匯集了計算機圖形學、拓撲學和計算數(shù)學等眾多學科而發(fā)展起來的一項電子設(shè)計的自動化技術(shù),EDA技術(shù)已經(jīng)成為現(xiàn)代電子系統(tǒng)設(shè)計的重要手段。1.2,EDA的概念EDA技術(shù)就是以計算機為工具,設(shè)計者在EDA軟件平臺上,用硬件描述語言HDL完成設(shè)計文件,然后由計算機自動地完成邏輯編譯、化簡、分割、綜合、優(yōu)化、布局、布線和仿真,直

6、至對于特定目標芯片的適配編譯、邏輯映射和編程下載等工作.EDA是電子設(shè)計自動化(Electronic Design Automation)的縮寫,在20世紀90年代初從計算機輔助設(shè)計(CAD)、計算機輔助制造(CAM)、計算機輔助測試(CAT)和計算機輔助工程(CAE)的概念發(fā)展而來的。 EDA代表了當今電子設(shè)計技術(shù)的最新發(fā)展方向,它的基本特征是:設(shè)計人員按照“自頂向下”的設(shè)計方法,對整個系統(tǒng)進行方案設(shè)計和功能劃分,系統(tǒng)的關(guān)鍵電路用一片或幾片專用集成電路(ASIC)實現(xiàn),然后采用硬件描述語言(HDL)完成系統(tǒng)行為級設(shè)計,最后通過綜合器和適配器生成最終的目標器件,這樣的設(shè)計方法被稱為高層次的電子

7、設(shè)計方法。現(xiàn)在對EDA的概念或范疇用得很寬。包括在機械、電子、通信、航空航天、化工、礦產(chǎn)、生物、醫(yī)學、軍事等各個領(lǐng)域,都有EDA的應(yīng)用。目前EDA 技術(shù)已在各大公司、企事業(yè)單位和科研教學部門廣泛使用。例如在飛機制造過程中,從設(shè)計、性能測試及特性分析直到飛行模擬,都可能涉及到EDA技術(shù)。本文所指的EDA技術(shù),主要針對電子電路設(shè)計、PCB設(shè)計和IC設(shè)計。EDA 設(shè)計可分為系統(tǒng)級、電路級和物理實現(xiàn)級。利用EDA工具,電子設(shè)計師可以從概念、算法、協(xié)議等開始設(shè)計電子系統(tǒng),大量工作可以通過計算機完成,并可以將電子產(chǎn)品從電路設(shè)計、性能分析到設(shè)計出IC版圖或PCB版圖的整個過程在計算機上自動處理完成?,F(xiàn)在對E

8、DA的概念或范疇用得很廣。包括在機械、電子、通信、航空航天、化工、礦產(chǎn)、生物、醫(yī)學、軍事等各個領(lǐng)域,都有EDA的應(yīng)用1。目前EDA技術(shù)已在各大公司、企事業(yè)單位和科研教學部門廣泛使用。例如在飛機制造過程中,從設(shè)計、性能測試及特性分析直到飛行模擬,都可能涉及到EDA技術(shù)。本次畢業(yè)設(shè)計課題實現(xiàn)的核心技術(shù)即為EDA相關(guān)技術(shù)。1.3,EDA 技術(shù)的基本特征EDA技術(shù)已有30年的發(fā)展歷程,大致可分3個階段:20世紀70年代為計算機輔助設(shè)計(CAD)階段,20世紀80年代為計算機輔助工程(CAE)階段,20世紀90年代為電子系統(tǒng)設(shè)計自動化(ESDA)階段。EDA代表了當今電子設(shè)計技術(shù)的最新發(fā)展方向,其基本特

9、征是:設(shè)計人員按照“自頂向下”(Top-down)的設(shè)計方法,對整個系統(tǒng)進行方案設(shè)計和功能劃分,系統(tǒng)的關(guān)鍵電路用一片或幾片專用集成電路(ASIC)實現(xiàn),然后采用硬件描述語言(HDL)完成系統(tǒng)行為級設(shè)計,最后通過綜合器和適配器生成最終的目標器件。EDA代表了當今電子設(shè)計技術(shù)的最新發(fā)展方向,利用EDA工具,電子設(shè)計師可以從概念、算法、協(xié)議等開始設(shè)計電子系統(tǒng),大量工作可以通過計算機完成,并可以將電子產(chǎn)品從電路設(shè)計、性能分析到設(shè)計出IC版圖或PCB版圖的整個過程在汁算機上自動處理完成。設(shè)計者采用的設(shè)計方法是一種高層次的”自頂向下”的全新設(shè)計方法,這種設(shè)汁方法首先從系統(tǒng)設(shè)計人手,在頂層進行功能方框圖的劃

10、分和結(jié)構(gòu)設(shè)計。在方框圖一級進行仿真、糾錯并用硬件描述語言對高層次的系統(tǒng)行為進行描述,在系統(tǒng)一級進行駛證。然后,用綜合優(yōu)化工具生成具體門電路的表,其對應(yīng)的物理實現(xiàn)級可以是印刷電路板或?qū)S眉呻娐?ASIC)。設(shè)計者的工作僅限于利用軟件的方式,即利用硬件描述語言和EDA軟件來完成對系統(tǒng)硬件功能的實現(xiàn)。由于設(shè)計的主要仿真和調(diào)試過程是在高層次上完成的,這既有利于早期發(fā)現(xiàn)結(jié)構(gòu)設(shè)計上的錯誤,避免設(shè)計工作的浪費,又減少了邏輯功能仿真的工作量,提高了設(shè)計的一次性成功率。 由于電子產(chǎn)品的復(fù)雜度和集成度的日益提高,一般分離的中小規(guī)模集成電路組合已不能滿足要求,電路設(shè)計逐步地從中小規(guī)模芯片轉(zhuǎn)為大規(guī)模、超大規(guī)模芯片,

11、具有高速度、高集成度、低功耗的可編程IC器件已蓬勃發(fā)展起來。在EDA技術(shù)中所用的大規(guī)模、超大規(guī)模芯片被稱為可編程ASIC芯片,這些可編程邏輯器件自70年代以來,經(jīng)歷了CPm、IzPGA 、CPLD、FPGA幾個發(fā)展階段,其中CPm(復(fù)雜可編程邏輯器件)IzPGA(現(xiàn)場可編程邏輯器件)肩高密度可編程邏輯器件,目前集成度已高達200萬門片以上,它將掩模ASIC集成度高的優(yōu)點和可編程邏輯器件設(shè)計生產(chǎn)方便的特點結(jié)合在一起,特別適合于樣品研制或小批量產(chǎn)品開發(fā),使產(chǎn)品能以最快的速度上市,而當市場擴大時,它可以很容易地轉(zhuǎn)由掩模ASIC實現(xiàn),因此開發(fā)風險也大為降低。運用EDA中的工具軟件能全方位地利用計算機自

12、動設(shè)計、仿真和調(diào)試。EDA常用軟件:EDA工具層出不窮,目前進入我國并具有廣泛影響的EDA軟件有:multiSIM7(原EWB的最新版本)、PSPICE、OrCAD、PCAD、Protel、Viewlogic、Mentor、Graphics、Synopsys、LSIIogic、Cadence、MicroSim,ISE,modelsim等等。這些工具都有較強的功能,一般可用于幾個方面,例如很多軟件都可以進行電路設(shè)計與仿真,同進還可以進行PCB自動布局布線,可輸出多種網(wǎng)表文件與第三方軟件接口。EDA基本特征有關(guān)的幾個概念1“自頂向下”的設(shè)計方法。10年前,電子設(shè)計的基本思路還是選用標準集成電路“自

13、底向上”地構(gòu)造出一個新的系統(tǒng),這樣的設(shè)計方法就如同一磚一瓦建造金字塔,不僅效率低、成本高而且容易出錯。高層次設(shè)計是一種“自頂向下”的全新設(shè)計方法,這種設(shè)計方法首先從系統(tǒng)設(shè)計人手,在頂層進行功能方框圖的劃分和結(jié)構(gòu)設(shè)計。在方框圖一級進行仿真、糾錯,并用硬件描述語言對高層次的系統(tǒng)行為進行描述,在系統(tǒng)一級進行驗證。然后,用綜合優(yōu)化工具生成具體門電路的網(wǎng)絡(luò)表,其對應(yīng)的物理實現(xiàn)級可以是印刷電路板或?qū)S眉呻娐?。由于設(shè)計的主要仿真和調(diào)試過程是在高層次上完成的,這既有利于早期發(fā)現(xiàn)結(jié)構(gòu)設(shè)計上的錯誤,避燃計工作的浪費,又減少了邏輯功能仿真的工作量,提高了設(shè)計的一次成功率。2ASIC設(shè)計?,F(xiàn)代電子產(chǎn)品的復(fù)雜度日益提

14、高,一個電子系統(tǒng)可能由數(shù)萬個中小規(guī)模集成電路構(gòu)成,這就帶來了體積大、功耗大、可靠性差的問題。解決這一問題的有效方法就是采用ASIC芯片進行設(shè)計。ASIC按照設(shè)計方法的不同可分為全定制ASIC、半定制ASC和可紀程ASIC(也稱為可編程邏輯器件)。3硬件描述語言。硬件描述語言(HDL)是一種用于設(shè)計硬件電子系統(tǒng)的計算機語言,它用軟件編程的方式來描述電子系統(tǒng)的邏輯功能、電路結(jié)構(gòu)和連接形式,與傳統(tǒng)的門級描述方式相比,它更適合大規(guī)模系統(tǒng)的設(shè)計。例如一個32位的加法器,利用圖形輸入軟件需要輸人500至1000個門,而利用VHDL語言只需要書寫一行“ABC”即可。而且 VHDL語言可讀性強,易于修改和發(fā)現(xiàn)

15、錯誤。早期的硬件描述語言,如ABEL、HDL、AHDL,由不同的EDA廠商開發(fā),互不兼容,而且不支持多層次設(shè)計,層次間翻譯工作要由人工完成。為了克服以上不足,1985年美國國防部正式推出了高速集成電路硬件描述語言VHDL,1987年IEEE采納VHDL為硬件描述語言標準(IEEE STD1076)。4EDA系統(tǒng)樞架結(jié)構(gòu) EDA系統(tǒng)框架結(jié)構(gòu)(FRAMEWORK)是一套配置和使用EDA軟件包的規(guī)范。目前主要的EDA系統(tǒng)都建立了框架結(jié)構(gòu),如 CADENCE公司的Design Framework,Mentor公司的Falcon Framework,而且這些框架結(jié)構(gòu)都遵守國際CFI組織制定的統(tǒng)一技術(shù)標準

16、??蚣芙Y(jié)構(gòu)能將來自不同EDA廠商的工具軟件進行優(yōu)化組合,集成在一個易于管理的統(tǒng)一的環(huán)境之下,而且還支持任務(wù)之間、設(shè)計師之間以及整個產(chǎn)品開發(fā)過程中的信息傳輸與共享,是并行工程和自頂向下設(shè)計施的實現(xiàn)基礎(chǔ)1.4MAX+plus 簡介MAX+plus(Multiple Array and Programming Logic User System)開發(fā)工具是美國Altera 公司推出的一種EDA 工具,具有靈活高效,使用便捷,易學易用的特點。Altera 公司在推出各種CPLD 和FPGA 的同時也在不斷地升級相應(yīng)的開發(fā)工具軟件,已從早期的第一代A+plus、第二代MAX+plus 發(fā)展到目前的第三代

17、MAX+plus II 和第四代Quartus。 Max+plus界面友好,使用便捷,被譽為業(yè)界最易用易學的EDA軟件。在Max+plus上可以完成設(shè)計輸入、元件適配、時序仿真和功能仿真、編程下載整個流程,它提供了一種與結(jié)構(gòu)無關(guān)的設(shè)計環(huán)境,是設(shè)計者能方便地進行設(shè)計輸入、快速處理和器件編程使用MAX+plus 軟件,設(shè)計者無需精通器件內(nèi)部的復(fù)雜結(jié)構(gòu),只需熟悉所用的設(shè)計輸入工具,如硬件描述語言、原理圖等進行輸入,MAX+plus自動將設(shè)計轉(zhuǎn)換成目標文件下載到器件中去。其特點有:1、開放的界面 Max+plus支持與Cadence,Exemplarlogic,Mentor Graphics,Synp

18、licty,Viewlogic和其它公司所提供的EDA工具接口。2、與結(jié)構(gòu)無關(guān)Max+plus系統(tǒng)的核心Complier支持Altera公司的FLEX10K、FLEX8000、FLEX6000、MAX9000、MAX7000、MAX5000和Classic可編程邏輯器件,提供了世界上唯一真正與結(jié)構(gòu)無關(guān)的可編程邏輯設(shè)計環(huán)境。3、完全集成化Max+plus的設(shè)計輸入、處理與較驗功能全部集成在統(tǒng)一的開發(fā)環(huán)境下,這樣可以加快動態(tài)調(diào)試、縮短開發(fā)周期。4、豐富的設(shè)計庫Max+plus提供豐富的庫單元供設(shè)計者調(diào)用,其中包括74系列的全部器件和多種特殊的邏輯功能(Macro-Function)以及新型的參數(shù)化

19、的兆功能(Mage-Function)。5、模塊化工具設(shè)計人員可以從各種設(shè)計輸入、處理和較驗選項中進行選擇從而使設(shè)計環(huán)境用戶化。6、硬件描述語言(HDL)Max+plus軟件支持各種HDL設(shè)計輸入選項,包括VHDL、Verilog HDL和Altera自己的硬件描述語言AHDL。7、Opencore特征Max+plus軟件具有開放核的特點,允許設(shè)計人員添加自己認為有價值的宏函數(shù)。1.5,Quartus簡介Quartus是Altera公司提供的FPGA/CPLD集成開發(fā)軟件,Altera是世界上最大的可編程邏輯器件供應(yīng)商之一。 Quartus在21世初推出,是Altera全一代FPGA/CPLD

20、集成開發(fā)軟件MAX+plus II的更新?lián)Q代產(chǎn)品,其界面友好,使用便捷。在Quartus上可以完成設(shè)計輸入、HDL綜合、布新布局(適配)、仿真和選擇以及硬件測試等流程,它提供了一種與結(jié)構(gòu)無關(guān)的設(shè)計環(huán)境,使設(shè)計者能方便地進行設(shè)計輸入、開始處理和器件編程。 Quartus提供了完整的多平臺設(shè)計環(huán)境,能滿足各種特定設(shè)計的需求,也是單片機可編程系統(tǒng)(SoPC)設(shè)計的綜合環(huán)境和SoPC開發(fā)的基本設(shè)計工具,并為Altera DSP開發(fā)包進行系統(tǒng)模型設(shè)計提供了集成綜合環(huán)境。Quartus設(shè)計完全支持VHDL、Verilog的設(shè)計流程,其內(nèi)部嵌有VHDL、Verilog邏輯綜合器。Quartus與可用利用第三

21、方的綜合工具(如Leonardo Spectrum、Synplify Pro、FPGA Complier II),并能直接調(diào)用這些工具。同樣 Quartus具備仿真功能,同時支持第三方的仿真工具(如ModelSin)。此外, Quartus與MATLAB和DSP Builder結(jié)合,可用進行基于FPAG的DSP系統(tǒng)開發(fā),是DSP硬件系統(tǒng)實現(xiàn)的工具EDA工具。1.6發(fā)光二級管簡介發(fā)光二極管簡稱為LED。由鎵(Ga)與砷(AS)、磷(P)的化合物制成的二極管,當電子與空穴復(fù)合時能輻射出可見光,因而可以用來制成發(fā)光二極管。在電路及儀器中作為指示燈,或者組成文字或數(shù)字顯示。磷砷化鎵二極管發(fā)紅光,磷化鎵

22、二極管發(fā)綠光,碳化硅二極管發(fā)黃光。它的基本結(jié)構(gòu)是一塊電致發(fā)光的半導(dǎo)體材料,置于一個有引線的架子上,然后四周用環(huán)氧樹脂密封,起到保護內(nèi)部芯線的作用,所以LED的抗震性能好。 發(fā)光二極管的核心部分是由P型半導(dǎo)體和N型半導(dǎo)體組成的晶片,在P型半導(dǎo)體和N型半導(dǎo)體之間有一個過渡層,稱為PN結(jié)。在某些半導(dǎo)體材料的PN結(jié)中,注入的少數(shù)載流子與多數(shù)載流子復(fù)合時會把多余的能量以光的形式釋放出來,從而把電能直接轉(zhuǎn)換為光能。PN結(jié)加反向電壓,少數(shù)載流子難以注入,故不發(fā)光。這種利用注入式電致發(fā)光原理制作的二極管叫發(fā)光二極管,通稱LED。 當它處于正向工作狀態(tài)時(即兩端加上正向電壓),電流從LED陽極流向陰極時,半導(dǎo)體

23、晶體就發(fā)出從紫外到紅外不同顏色的光線,光的強弱與電流有關(guān)。以下是傳統(tǒng)發(fā)光二極管所使用的無機半導(dǎo)體物料和所它們發(fā)光的顏色 鋁砷化鎵(AlGaAs)-紅色及紅外線 鋁磷化鎵(AlGaP)-綠色 磷化鋁銦鎵(AlGaInP)-高亮度的橘紅色,橙色,黃色,綠色 磷砷化鎵(GaAsP)-紅色,橘紅色,黃色磷化鎵(GaP)-紅色,黃色,綠色 氮化鎵(GaN)-綠色,翠綠色,藍色 銦氮化鎵(InGaN)-近紫外線,藍綠色,藍色 碳化硅(SiC)(用作襯底)-藍色 硅(Si)(用作襯底)-藍色(開發(fā)中) 藍寶石(Al2O3)(用作襯底)-藍色 zincselenide(ZnSe)-藍色 鉆石(C)-紫外線 氮

24、化鋁(AlN),aluminiumgalliumnitride(AlGaN)-波長為遠至近的紫外線1.7LED材料先進性研究材料先進性的進一步研究:假設(shè)發(fā)光是在P區(qū)中發(fā)生的,那么注入的電子與價帶空穴直接復(fù)合而發(fā)光,或者先被發(fā)光中心捕獲后,再與空穴復(fù)合發(fā)光。除了這種發(fā)光復(fù)合外,還有些電子被非發(fā)光中心(這個中心介于導(dǎo)帶、介帶中間附近)捕獲,而后再與空穴復(fù)合,每次釋放的能量不大,不能形成可見光。發(fā)光的復(fù)合量相對于非發(fā)光復(fù)合量的比例越大,光量子效率越高。由于復(fù)合是在少子擴散區(qū)內(nèi)發(fā)光的,所以光僅在靠近PN結(jié)面數(shù)m以內(nèi)產(chǎn)生。 理論和實踐證明,光的峰值波長與發(fā)光區(qū)域的半導(dǎo)體材料禁帶寬度Eg有關(guān),即 1240

25、/Eg(nm) 式中Eg的單位為電子伏特(eV)。若能產(chǎn)生可見光(波長在380nm紫光780nm紅光),半導(dǎo)體材料的Eg應(yīng)在3.261.63eV之間。比紅光波長長的光為紅外光?,F(xiàn)在已有紅外、紅、黃、綠及藍光發(fā)光二極管,但其中藍光二極管成本、價格很高,使用不普遍。LED以其固有的特點,如省電、壽命長、耐震動,響應(yīng)速度快、冷光源等特點,廣泛應(yīng)用于指示燈、信號燈、顯示屏、景觀照明等領(lǐng)域,在我們的日常生活中處處可見,家用電器、電話機、儀表板照明、汽車防霧燈、交通信號燈等。但由于其亮度差、價格昂貴等條件的限制,無法作為通用光源推廣應(yīng)用。 近幾年來,隨著人們對半導(dǎo)體發(fā)光材料研究的不斷深入,LED制造工藝的

26、不斷進步和新材料(氮化物晶體和熒光粉)的開發(fā)和應(yīng)用,各種顏色的超高亮度LED取得了突破性進展,其發(fā)光效率提高了近1000倍,色度方面已實現(xiàn)了可見光波段的所有顏色與傳統(tǒng)光源單調(diào)的發(fā)光效果相比,LED光源是低壓微電子產(chǎn)品。它成功融合了計算機技術(shù)、網(wǎng)絡(luò)通信技術(shù)、圖像處理技術(shù)、嵌入式控制技術(shù)等,所以亦是數(shù)字信息化產(chǎn)品,是半導(dǎo)體光電器件“高新尖”技術(shù),具有在線編程、無限升級、靈活多變的特點。發(fā)光原理公式:理論和實踐證明,發(fā)光的波長或頻率取決于選用的半導(dǎo)體材料和能隙,的單位為電子伏(eV) (1) (2)式中:為電子運動速度,h為普朗克常量,q為載流子所帶電荷,c為光速,為發(fā)光波長。目前的研究中,由于LE

27、D的實際物理尺寸比較小,面積大約為0.7,都將其視為一個點光源是完全可行的。這也是目前研究中普遍采用的光源模型。LED是一個光強漸變的光源,其光強分布近似遵循郎伯分布,但是并不是一個理想的余弦分布,其分布可以表示為 (5)式中是LED發(fā)光平面法線方向上的光強,是觀察方向與法線方向的夾角,m為系數(shù),根據(jù)不同視角的LED選擇不同的m值,以便更好的模擬LED的光強分布。公式是LED應(yīng)用于照明設(shè)計的基礎(chǔ),也是背景光計算的基礎(chǔ)。1.8LED工業(yè)級參數(shù)IV(Intensity )1.定義IV即發(fā)光強度表示光源在一定方向范圍內(nèi)發(fā)出的光通量的空間分布的物理量。 LED所檢測之IV值通常是指法線(對圓柱形發(fā)光二

28、極管是指其軸線)方向上的發(fā)光強度。若該方向上輻射強度為(1/683)W/sr時則發(fā)光1坎德拉(符號為cd)。由于一般LED的發(fā)光強度較小故發(fā)光強度常用米里坎德拉(mcd)作單位。2.單位(mcdcdlmlux)*cd-坎德拉(candela)1cd的定義指以一尺的距離點一支蠟燭所照射的亮度稱1cd = 1000mcd *lm-流明(光通量單位)(lummious) lm的定義指單位面積內(nèi)人眼接受LED發(fā)射的全部光能光通量定義指輻射通量與視覺函數(shù)的乘積,以表示表示光源表面的客觀輻射通量對人眼所引起的視覺強度lux定義指單位面積所照射的cd值3.視覺函數(shù)a.相等的輻射通量,由于波長不同,引起人眼的

29、感覺也不同,設(shè)任一波長的光和波長為555nm的光,產(chǎn)生相同亮暗視覺所需的輻射通量分別為555和(),則比值:()=555/()稱為視覺函數(shù)。b.眼睛對各種不同波長的光的視覺敏感度:黃綠光最敏感,紅光和紫光較差,紅外線和紫外光,則無視覺反應(yīng)。c.在引起強度相等的視覺情況下,若所需的某一單色光的輻射通量越小,則說明人眼對該單色光的視覺敏感度越高。4.照度 a. 單位mcd/cm2 b. 定義 指被照物所承受的能量值。5.輝度a. mw/cm2b. 定義指發(fā)光物所發(fā)出的能量值(光功率密度)。備注a. mw屬輻射學(發(fā)射出來即一定要有接收體以接收強度為單位)b. mcdlmlux屬光度學(針對肉眼)。

30、備注測試亮度時光源與測試頭距離,CIE推薦采用31.6mm,接受面積為10*10mm。1.91 LED發(fā)光原理LED是一種半導(dǎo)體固體發(fā)光器件,其核心是PN結(jié),它除具有普通PN結(jié)的正向?qū)ā⒎聪蚪刂?、擊穿特性外,在一定條件下,還具有發(fā)光特性。在外加正向電壓下,電子由N區(qū)注入P區(qū),空穴由P區(qū)注放N區(qū),進入對方區(qū)域的少數(shù)載流子(少子)一部分與多數(shù)載流子(多子)復(fù)合而發(fā)光。2、屏體亮度計算公式: 亮度:屏體整體亮度由單顆燈的亮度整合起來。舉例如下:3906點的P16戶外全彩屏2R1PG1B(1/4掃描),大連路美管芯,其中紅管發(fā)光亮度為800mcd,綠管發(fā)光亮度為2300mcd,藍管發(fā)光亮度為350m

31、cd,由此可計算一個平方理論亮度為(800*2+2300+350)*3906/1000/4=4150cd在明確亮度及點密度的要求條件下,如何計算單管的亮度?計算方法如下:(以兩紅、一綠、一藍為例)下面m2指平方米紅色LED燈亮度:亮度(cd)/m2點數(shù)/m20.32綠色LED燈亮度:亮度(cd)/m2點數(shù)/m20.6藍色LED燈亮度:亮度(cd)/m2點數(shù)/m20.1例如:每平米2500點密度,2R1G1B,每平米亮度要求為5000cd/m2,則:紅色LED燈亮度為:500025000.32=0.3綠色LED燈亮度為:500025000.62=1.2藍色LED燈亮度為:500025000.1=

32、0.2 每像素點的亮度為:0.32+1.2+0.2=2.0cd光輻射(Light and radiation):光是指人眼可以感知為明亮的點輻射,也可以說是整個電磁輻射光譜中人眼可以看見的部分;這部分的波長分布在360到830nm,只占已知的電磁輻射光譜中的非常微小的部分。光通量(Luminous flux):單位為:劉明(Lumen,lm)由一光源所反射并被人眼感知的所有輻射能稱為光通量。光強度(luminous intensity,I):光源在某一方向立體角內(nèi)的光通量大小。單位:坎德拉(candela,cd)一般而言,光源會向不同方向以不同的強度放射出其光通量。在特定方向所放出的可見輻射強

33、度稱為光強度。照度(Illuminance,E):單位:勒克斯(Lux,lx)照度是光通量與被照面的比值。1lux的照度為1lumen的光通量均勻分布在面積為一平方米的區(qū)域。輝度(Luminance,L):單位:坎德拉每平方米(cd m2)一光源或一被照面的輝度指其單位表面積在某一方向上的光強度密度,也可說是人眼所感知此光源或被照面的明亮程度。放光效率(Luminous efficacy, ):單位:流明每瓦lmW代表光源將所消耗的 電能轉(zhuǎn)換成光的效率;色溫(Color Temperature):單位:絕對溫度(Kelin,K)一個光源的色溫被定義為與其具有相同光色的標準黑色(black bo

34、dy radiator)本身的絕對溫度值,此溫度可以在色度圖上的普朗克軌上找到其對應(yīng)點。標準黑體的溫度越高,其輻射出的光線光譜中藍色成分越多,紅色成分也就相對的越少。以發(fā)出光色為暖白色的普通白熱燈泡為例,其色溫為2700K,而晝光色日光燈的色溫為6000K。光色(Light color):一個燈的光色可以簡單的以色溫來表示。光色主要可分成三大類:暖色:3300K中間色:3300至5000K晝光色:5000K即使光色相同,燈種鍵也可能以為其發(fā)光的光線光譜組成不同而有很大的顏色性表現(xiàn)差異。重要的測光公式;R,光強度cd=立體角內(nèi)的光通量 立體角srE,照度lx=落在某面積上的光通量lm 此被照面積

35、m2=光強度cd(距離m)2L,灰度cd m2= 光強度cd 所見的被照面面積m2發(fā)光效率lmW=所產(chǎn)生的光通量lm 消耗電功率W1.92LED價格比較與材料的選取以40W白熾燈泡為例,零售價大約是2元;相同亮度的熒光管節(jié)能燈標示5W(實際大約是7W),零售價是8元左右;相同亮度的大功率LED燈實際只要3W(LED指向性較高),目前零售價是35元左右。值得注意的是大功率LED光源往往是連燈具一體的,而且壽命超長,所以性價比是很高的。隨著時間推移,LED燈具價格還有下調(diào)空間。而普通燈泡耗能,熒光管節(jié)能燈含汞,最終LED燈具會占據(jù)主要家用光源市場。 作為EDA的多路彩燈控制器設(shè)計中,以材料優(yōu)良、發(fā)

36、光亮度好、性價比好作為首先選來定義研究材料的先進性。21多路彩燈的設(shè)計方案主要模塊組成:時序控制電路模塊和顯示電路模塊,時序控制電路是根據(jù)輸入信號的設(shè)置得到相應(yīng)的輸出信號,并將此信號作為顯示電路的時鐘信號;顯示電路輸入時鐘信號的周期,有規(guī)律的輸出設(shè)定的六種彩燈變化類型。設(shè)置一個8路的多路彩燈控制器,要求彩燈控制器可以產(chǎn)生六中不同的花環(huán);花環(huán)可以自動變化,循環(huán)往復(fù);彩燈變化快慢可以選擇。22總體設(shè)計思路采用層次設(shè)計法,頂層采用原理圖設(shè)計,底層采用VHDL 設(shè)計。以下是它的方框圖。底層分為三個子電路模塊,分別是循環(huán)彩燈控制(子電路模塊、)二選一數(shù)選器(my_mux21b)時序電路模塊。clk分頻模

37、塊二選一模塊花環(huán)循環(huán)控制模塊輸出7,0clropt圖為彩燈控制器總體框圖23設(shè)計細節(jié)循環(huán)彩燈控制子電路模塊是8 路彩燈電路的核心部分。它主控著8 路彩燈的花型種類。循環(huán)彩燈控制子電路模塊主要采用狀態(tài)機來實現(xiàn),用狀態(tài)機來設(shè)計靈活性很強。按設(shè)計要求要實現(xiàn)六種花型、,用S0、S1、S2、S3、S4、S5、S6 來表示7 種循環(huán),以1表示燈亮,以0表示燈滅狀態(tài)的狀態(tài)。S2S1S0S6S3S5S42.4本章小結(jié)與其它硬件設(shè)計方法相比,用VHDL進行工程設(shè)計的優(yōu)點是多方面的:具有很強的行為描述能力,支持大規(guī)模設(shè)計的分解和已有設(shè)計的再利用,可讀性好,易于修改和發(fā)現(xiàn)錯誤,可以使用仿真器對VHDL源代碼進行仿真

38、允許設(shè)計者不依賴于器件,容易發(fā)現(xiàn)設(shè)計中出現(xiàn)的問題,以便及時處理。實現(xiàn)了設(shè)計與工藝無關(guān),可移植性好,上市時間快,成本低,ASIC移植等優(yōu)點。3多路彩燈的設(shè)計過程3.1設(shè)計思路3.11花型控制及演示電路模塊的設(shè)計通過VHDL語言對花型控制即演示電路模塊經(jīng)行編寫,并封裝成塊。clr為清零輸入端,高電平有效。clk為時鐘信號輸入端。led為花型狀態(tài)輸出的,即接發(fā)光二極管。其六種花型的變化如下表: 花型狀態(tài)ZZZZZZZZ花型數(shù)S0S1S2S3S4S5S6六種花型為S1-S6,且連續(xù)循環(huán)變化。S0為初始狀態(tài),當clr清零端為高電平有效時,八個led發(fā)光二極管將保持此時的花型狀態(tài),直到重新為低電平時?;ㄐ?/p>

39、將從S1狀態(tài)開始循環(huán)變化。library ieee;use ieee.std_logic_1164.all;entity xs isport( clk: in std_logic ; -輸入時鐘信號 clr: in std_logic; -復(fù)位信號 led: out std_logic_vector(7 downto 0); -LED燈輸出 end xs;architecture rtl of xs istype states is -狀態(tài)機狀態(tài)列舉(s0,s1,s2,s3,s4,s5,s6);signal state: states;begin process(clk,clr)begin i

40、f clr=1 then state=s0; led state state=s2; led state=s3; led state=s4; led state=s5; led state=s6; led state=s1; led=;end case;end if;end process;end rtl;程序編譯成功波形仿真圖clr為清零信號,高電平有效。clk為時鐘信號輸入端。led表示花型的輸出狀態(tài),rent_state表示花型狀態(tài)為第幾狀態(tài)。由時序仿真圖可知:花型按S1-S6六種狀態(tài)循環(huán)變換,S0為初始狀態(tài)。其過程和設(shè)計思路一致。生成模塊3.12時序控制電路模塊程序如下library

41、ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity kz is -定義實體port( clk: in std_logic; -時鐘信號 clr: in std_logic; -復(fù)位信號 opt: in std_logic; -快慢控制信號 clkout: out std_logic -輸出時鐘信號 ); end kz;architecture rtl of kz issignal clk_tmp: std_logic;signal counter: std_logic_vector(1 downto 0

42、); -定義計數(shù)器begin process(clk,clr,opt)begin if clr=1 then -清零 clk_tmp=0; counter=00;elsif clkevent and clk=1 then if opt=0 then -四分頻,快節(jié)奏 if counter=01 then counter=00; clk_tmp=not clk_tmp; else counter=counter+1; end if; else -八分頻,慢節(jié)奏 if counter=11 then counter=00; clk_tmp=not clk_tmp; else counter=cou

43、nter+1; end if; end if;end if;end process;clkout=clk_tmp; -輸出分頻后的信號end rtl;程序編譯如圖所示從圖中可以看出,當復(fù)位信號為高電平時,電路時鐘輸出清零,當快慢信號OPT為低電平時,時序控制電路四分頻起作用,當快慢信號OPT為高電平時,時序控制電路八分頻起作用,仿真結(jié)果符合電路要求。生成模塊如下3.13二選一選擇器從max plus軟件生成模塊中可以直接選擇生成器件其組成如圖所示3.14頂出模塊設(shè)計library ieee;use ieee.std_logic_1164.all;entity cotop isport ( cl

44、k: in std_logic; clr: in std_logic; opt:in std_logic; led: out std_logic_vector(7 downto 0); -八路彩燈輸出end cotop;architecture rtl of cotop is component kz is -定義元件:時序控制電路 port( clk: in std_logic; clr: in std_logic; opt:in std_logic; clkout: out std_logic);end component kz; component xs is -定義元件:顯示電路por

45、t( clk: in std_logic; clr: in std_logic; led: out std_logic_vector(7 downto 0);end component xs;signal clk_tmp: std_logic;begin u1:kz port map(clk,clr,opt,clk_tmp); -例化時序控制模塊 u2:xs port map(clk_tmp,clr,led); -例化顯示電路模塊end rtl;起生成模塊如圖所示3.15整體電路圖設(shè)計其仿真圖如下所示3.16本章小結(jié)模塊的設(shè)計使得程序得以實現(xiàn),對于程序的理解和對模塊的設(shè)計緊密的聯(lián)系起來利用ED

46、A技術(shù)方便快捷的實現(xiàn)了設(shè)計。用VHDL進行設(shè)計,首先應(yīng)該理解,VHDL語言是一種全方位硬件描述語言,包括系統(tǒng)行為級,寄存器傳輸級和邏輯門級多個設(shè)計層次。應(yīng)充分利用VHDL“自頂向下”的設(shè)計優(yōu)點以及層次化的設(shè)計概念,層次概念對于設(shè)計復(fù)雜的數(shù)字系統(tǒng)是非常有用的,它使得我們可以從簡單的單元入手,逐漸構(gòu)成龐大而復(fù)雜的系統(tǒng)4.結(jié)論用VHDL進行設(shè)計,首先應(yīng)該理解,VHDL語言是一種全方位硬件描述語言,包括系統(tǒng)行為級,寄存器傳輸級和邏輯門級多個設(shè)計層次。應(yīng)充分利用VHDL“自頂向下”的設(shè)計優(yōu)點以及層次化的設(shè)計概念,層次概念對于設(shè)計復(fù)雜的數(shù)字系統(tǒng)是非常有用的,它使得我們可以從簡單的單元入手,逐漸構(gòu)成龐大而復(fù)雜的系統(tǒng)。通過使用EDA編程既方便有快捷的實現(xiàn)了程序本次設(shè)計的程序已經(jīng)在硬件系統(tǒng)上得到了驗證 ,實驗表明 ,此設(shè)計方法能夠滿足多種不同花樣彩燈的變化要求 ,并且該方法便于擴展不同變化模式的彩燈花樣。電子技術(shù)課程設(shè)計是配合電子技術(shù)基礎(chǔ)課程與實驗教學的一個非常重要的教學環(huán)節(jié)。它不但

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論