




已閱讀5頁,還剩4頁未讀, 繼續(xù)免費閱讀
版權說明:本文檔由用戶提供并上傳,收益歸屬內容提供方,若內容存在侵權,請進行舉報或認領
文檔簡介
電子信息工程 專業(yè) 年 月 日實驗名稱矩陣鍵盤控制接口電路指導教師姓 名年級學號成績一、 預習部分1. 實驗目的2. 實驗基本原理3. 主要儀器設備(含必要的元器件、工具)實驗原理:掃描信號為 BCOM8.1,在BCOM8.1前已接有一個3-8譯碼器,3-8譯碼器的輸入為SEL0SEL3。當3-8譯碼器的輸入為“000” 時,即BCOM1為0,其他位為1,我們按下第一排第一鍵,此時KIN0輸出0,KIN1KIN3輸出全為1,按下第二排第二鍵 時,KIN1輸出0,其他輸出1;當3-8譯碼器輸入為001時,即BCOM2為0,我們按下第一排第二鍵,此時KIN0輸出 0,KIN1KIN3輸出全為1,同理其他鍵依此類推。鍵盤上的每個按鍵就是一個開關,當某鍵被按下時,該按鍵的接點會呈現(xiàn)0狀 態(tài),反之為1。掃描信號為SEL2.0進入3-8譯碼器,再從譯碼器輸出到鍵盤,所以第一次只能掃描一排,依此周而復始。按鍵位置與數(shù)碼的關系 如表40-1所示。若從KIN3.0輸出的皆為1時,表示沒有按鍵按下,代表該列沒有按鍵被按下,則不進行按鍵編碼的操作,反之,如果 有被按下時,則應將KIN3.0讀出的值送到譯碼電路進行編碼。表40-1 按鍵位置與數(shù)碼關系SEL2SEL0 KIN3KIN0 對應的按鍵000 1110 01101 61011 LAST0111 CTRL001 1110 11101 71011 STEP0111 EMPTY1010 1110 21101 REG1011 C0111 EMPTY2011 1110 31101 EXEC1011 D0111 EMPTY3100 1110 MEM1011 E0111 EMPTY4101 1110 ESC1101 91011 F0111 SHIFT110 1110 41101 A1011 NEXT0111 NONE111 1110 51101 B1011 ENTER0111 NONE1101 8實驗內容:(1) 按鍵的去抖:消抖動電路:(2) 時鐘產生:library ieee;use ieee.std_logic_1164.all;entity div is port(clr,clk: in bit; q: buffer bit);end div;architecture art of div is begin process(clr,clk) variable count: integer range 0 to 12499; begin if (clk=1 and clkevent) then if clr=1 then count:=0; elsif count=12499 then count:=0; q=not q; else count:=count+1; end if; end if; end process;end art;(2)仿真圖(3) 鍵盤掃描譯碼電路:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity keyboard4_4 is port( rst : in std_logic; clk : in std_logic; keyin : in std_logic_vector(3 downto 0); scan : out std_logic_vector(3 downto 0); leds : out std_logic_vector(3 downto 0) );end keyboard4_4;architecture keyboard4_4_arch of keyboard4_4 is signal clkfrq : std_logic; signal cntscn : std_logic_vector(1 downto 0); signal scnlin : std_logic_vector(3 downto 0); signal cntfrq : std_logic_vector(14 downto 0); -signal cntfrq : std_logic_vector(3 downto 0); signal lednum : std_logic_vector(7 downto 0);begin process(rst,clk, clkfrq) begin if rst = 0 then clkfrq = 0; cntfrq 0); elsif clkevent and clk = 1 then if cntfrq = 110000110101000 then -if cntfrq = 1111 then cntfrq 0); clkfrq = not clkfrq; else cntfrq = cntfrq + 1; end if; end if; end process; process(rst,clkfrq) begin if rst = 0 then cntscn = 00; elsif clkfrqevent and clkfrq = 1 then if cntscn = 11 then cntscn = 00; else cntscn scnlin scnlin scnlin scnlin null; end case; end if; end process; process(rst, clkfrq) begin if(rst = 0 ) then leds leds leds leds leds leds leds leds leds leds leds leds leds leds leds leds leds null; end case; end if; end process; scan = scnlin; lednum = scnlin&keyin; end keyboard4_4_arch;(4)按鍵顯示電路:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY DELED IS PORT(SELTMP: IN STD_LOGIC_VECTOR(2 DOWNTO 0); BCDIN: IN STD_LOGIC_VECTOR(31 DOWNTO 0);SEGOUT: OUT STD_LOGIC_VECTOR(6 DOWNTO 0)END DELED;ARCHITECTURE ART1 OF DELED ISSIGNAL DB:STD_LOGIC_VECTOR(3 DOWNTO 0)SIGNAL SEG:STD_LOGIC_VECTOR(6 DOWNTO 0)BEGINSEGOUT=SEG;MULTIPLEXER:BLOCKBEGINDB=BCDIN(3 DOWNTO 0)WHEN SETMP =0ELSEBCDIN(7 DOWNTO 4)WHEN SETMP =0ELSEBCDIN(11 DOWNTO 8)WHEN SETMP =0ELSEBCDIN(15 DOWNTO 12)WHEN SETMP =0ELSEBCDIN(19 DOWNTO 16)WHEN SETMP =0ELSEBCDIN(23 DOWNTO 20)WHEN SETMP =0ELSEBCDIN(27 DOWNTO 24)WHEN SETMP =0ELSEBCDIN(31 DOWNTO 28);END ;SEVEN_SEGMENT:SEG=1111110when num=0000else 0110000when num=0001else 1101101when num=0010else 1111001when num=0011else 0110011when num=0100else 1011011when num=0101else 1
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
- 4. 未經權益所有人同意不得將文件中的內容挪作商業(yè)或盈利用途。
- 5. 人人文庫網僅提供信息存儲空間,僅對用戶上傳內容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
- 6. 下載文件中如有侵權或不適當內容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 物流公司設備采購合同
- 綠色環(huán)保產品開發(fā)與銷售協(xié)議
- 軟件行業(yè)軟件開發(fā)與技術服務解決方案
- 商業(yè)園區(qū)物業(yè)管理合作協(xié)議
- 行政管理心理學知識圖譜建立試題及答案
- 行政管理中的人本管理思想試題及答案
- 2025技術授權借貸合同范本
- 2025工程承包勞務合同
- 2025非官方產權房買賣合同范本
- 自考行政管理總結分類試題及答案
- 咖啡加工廠建設項目可行性研究報告
- 2025年中考英語高頻詞匯變形歸納《背誦版+默寫版》
- 2025年人力資源管理專業(yè)知識考試試題及答案
- 倉儲物流管理試題及答案
- 《兒童常見病預防》課件
- 護士招考三基試題及答案
- 2024年湖南省城步苗族自治縣事業(yè)單位公開招聘醫(yī)療衛(wèi)生崗筆試題帶答案
- 第32屆全國中學生物理競賽復賽試題
- 《采煤學》教材筆記
- 漢字介紹課件
- 2025年熔化焊接與熱切割作業(yè)證理論考試試題(1000題)附答案
評論
0/150
提交評論